Xin Li
Electrical and Computer Engineering
Professor in the Department of Electrical and Computer Engineering
Research Themes
Applications, Artificial Intelligence & Machine Learning, Trustworthy Computing
Research Interests
Integrated circuits, signal processing and data analytics
Bio
Prof. Xin Li received the Ph.D. degree in Electrical and Computer Engineering from Carnegie Mellon University, Pittsburgh, Pennsylvania, in 2005, and the M.S. and B.S. degrees in Electronics Engineering from Fudan University, Shanghai, China, in 2001 and 1998, respectively.
In 2005, he co-founded Xigmix Inc. to commercialize his PhD research, and served as the Chief Technical Officer until the company was acquired by Extreme DA in 2007. In 2011, Extreme DA was further acquired by Synopsis (Nasdaq: SNPS). From 2009 to 2012, he was the Assistant Director for FCRP Focus Research Center for Circuit & System Solutions (C2S2), a national consortium of 13 research universities (CMU, MIT, Stanford, Berkeley, UIUC, UMich, Columbia, UCLA, among others) chartered by the U.S. semiconductor industry and U.S. Department of Defense to work on next-generation integrated circuit design challenges. From 2014 to 2015, he was the Assistant Director for the Center for Silicon System Implementation (CSSI), a CMU research center with 20 faculty members working on integrated circuits and systems. His research interests include integrated circuit, signal processing and data analytics.
He was an Associate Editor of IEEE Trans. on Biomedical Engineering (TBME), IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), ACM Trans. on Design Automation of Electronic Systems (TODAES), IEEE Design & Test (D&T), and Journal of Low Power Electronics (JOLPE). He was the Guest Editor for IEEE TCAD, IEEE TNANO, IEEE TBD, IEEE D&T, IEEE JETCAS, ACM TCPS, ACM JETC and VLSI Integration. He served on the Executive Committee of ACM Special Interest Group on Design Automation (SIGDA), IEEE Systems, Man, and Cybernetics Society Technical Committee on Cybernetics for Cyber-Physical Systems (TCCCPS), and IEEE Computer Society Technical Committee on VLSI (TCVLSI). He was the General Chair of ISVLSI, iNIS and FAC, and the Technical Program Chair of CAD/Graphics. He also served on the ACM/SIGDA Outstanding PhD Dissertation Award Selection Committee, the IEEE TTTC E. J. McCluskey Best Doctoral Thesis Selection Committee, the IEEE Outstanding Young Author Award Selection Committee, the Executive Committee of ISVLSI, GLSVLSI and iNIS, and the Technical Program Committee of DAC, ICCAD, ITC, ISVLSI, FAC, CAD/Graphics, ASICON and VLSI. He received the NSF Faculty Early Career Development Award (CAREER) in 2012, two IEEE Donald O. Pederson Best Paper Awards in 2013 and 2016, the Best Paper Award from Design Automation Conference (DAC) in 2010, two IEEE/ACM William J. McCalla ICCAD Best Paper Awards in 2004 and 2011, and the Best Paper Award from International Symposium on Integrated Circuits (ISIC) in 2014. In addition to these awards, he also received six Best Paper Nominations from Design Automation Conference (DAC), International Conference on Computer-Aided Design (ICCAD) and Custom Integrated Circuits Conference (CICC).
Education
- MR Fudan University (China), 2001
- Ph.D. Carnegie Mellon University, 2005
Positions
- Professor in the Department of Electrical and Computer Engineering
- Associate Vice Chancellor at Duke Kunshan University
- Professor of Electrical and Computer Engineering at Duke Kunshan University
Awards, Honors, and Distinctions
- Fellow. Institute of Electrical and Electronics Engineers. 2017
- Best Paper Nomination. Design Automation Conference. 2016
- IEEE Donald O. Pederson Best Paper Award. IEEE Council on EDA. 2016
- Best Paper Nomination. Design Automation Conference. 2015
- Best Paper Nomination. Design Automation Conference. 2014
- Best Paper Nomination. International Conference on Computer-Aided Design. 2014
- Best Paper Award. International Symposium on Integrated Circuits. 2014
- IEEE Donald O. Pederson Best Paper Award. IEEE Council on EDA. 2013
- NSF CAREER Award. NSF. 2012
- IEEE/ACM William J. McCalla ICCAD Best Paper Award. IEEE/ACM. 2011
- Senior Member. Institute of Electrical and Electronics Engineers. 2010
- Best Paper Award. Design Automation Conference. 2010
- Winner of Data Analysis Competition. International Conference on Biomagnetism. 2010
- Best Paper Nomination. Design Automation Conference. 2006
- IEEE/ACM William J. McCalla ICCAD Best Paper Award. IEEE/ACM. 2004
Courses Taught
- K_ECE 580K: INTRO TO MACHINE LEARNING
- K_CAPST 496: Signature Work Capstone II
- K_CAPST 495: Signature Work Capstone I
- ECE 891: Internship
- ECE 580K: Introduction to Machine Learning
- ECE 550K: Fundamentals of Computer Systems and Engineering
- ECE 550DK: Fundamentals of Computer Systems and Engineering
Publications
- He X, Wu D, Li X, Tong X. Back to the Past: A Systematic Review of Immersive Narrative in Cultural Heritage Conservation. Proceedings of the Association for Information Science and Technology. 2024 Oct 1;61(1):148–60.
- Zhao S, Li Z, Zhu Z, Chang C, Li X, Chen YC, et al. An integrated framework for accurate trajectory prediction based on deep learning. Applied Intelligence. 2024 Oct 1;54(20):10161–75.
- Liu Y, Chen S, Li P, Wan J, Li X. Status, challenges, and promises of data-driven battery lifetime prediction under cyber-physical system context. IET Cyber-Physical Systems: Theory and Applications. 2024 Sep 1;9(3):207–17.
- Wu X, Xiao L, Du X, Zheng Y, Li X, Ma T, et al. Cross-domain document layout analysis using document style guide. Expert Systems with Applications. 2024 Jul 1;245.
- Guo N, Chen S, Tao J, Liu Y, Wan J, Li X. Semi-supervised learning for explainable few-shot battery lifetime prediction. Joule. 2024 Jun 19;8(6):1820–36.
- Pan R, Li X, Chakrabarty K. Root-Cause Analysis with Semi-Supervised Co-Training for Integrated Systems. ACM Transactions on Design Automation of Electronic Systems. 2024 May 3;29(3).
- Lu T, Zhai X, Chen S, Liu Y, Wan J, Liu G, et al. Robust battery lifetime prediction with noisy measurements via total-least-squares regression. Integration. 2024 May 1;96.
- Zhao S, Chen S, Zhou J, Li C, Tang T, Harris SJ, et al. Potential to transform words to watts with large language models in battery research. Cell Reports Physical Science. 2024 Mar 20;5(3).
- Fu Z, Li X, Huai T, Li W, Dong D, He L. Robust depth completion based on Semantic Aggregation. Applied Intelligence. 2024 Mar 1;54(5):3825–40.
- Fu D, Li X, Wen L, Dou M, Cai P, Shi B, et al. Drive Like a Human: Rethinking Autonomous Driving with Large Language Models. In: Proceedings - 2024 IEEE Winter Conference on Applications of Computer Vision Workshops, WACVW 2024. 2024. p. 910–9.
- Wu K, Liu Y, Gao H, Tao J, Xiong W, Li X. Efficient Design Optimization for Diffractive Deep Neural Networks. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2024 Jan 1;
- Zhao S, Zhu Z, Li X, Chen YC. Communication-Efficient Federated Learning for Decision Trees. IEEE Transactions on Artificial Intelligence. 2024 Jan 1;
- Wen L, Fu D, Li X, Cai X, Ma T, Cai P, et al. DILU: A KNOWLEDGE-DRIVEN APPROACH TO AUTONOMOUS DRIVING WITH LARGE LANGUAGE MODELS. In: 12th International Conference on Learning Representations, ICLR 2024. 2024.
- Meng D, Li X, Wang W. Robust Sparse Recovery Based Vehicles Location Estimation in Intelligent Transportation System. IEEE Transactions on Intelligent Transportation Systems. 2024 Jan 1;25(1):1023–32.
- Zhao S, Zhu Z, Li X, Chen YC. Robust Wafer Classification with Imperfectly Labeled Data Based on Self-Boosting Co-Teaching. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2023 Jul 1;42(7):2214–26.
- Wu K, Guo N, Li F, Zhu N, Tao J, Li X. Efficient Statistical Parameter Extraction for Modeling MOSFET Mismatch. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2023 May 1;42(5):1618–22.
- Yu H, Li X. Data-Driven Parameterized Corner Synthesis for Efficient Validation of Perception Systems for Autonomous Driving. ACM Transactions on Cyber-Physical Systems. 2023 Apr 19;7(2).
- Zhou W, Tao J, Li X. A Blockchain-Based Certificate System with Credit Self-Adjustment. Wuhan University Journal of Natural Sciences. 2023 Apr 1;28(2):163–8.
- Pan R, Li X, Chakrabarty K. Unsupervised Two-Stage Root-Cause Analysis With Transfer Learning for Integrated Systems. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2023 Feb 1;42(2):497–508.
- Gao Z, Wang F, Tao J, Su Y, Zeng X, Li X. Correlated Bayesian Model Fusion: Efficient High-Dimensional Performance Modeling of Analog/RF Integrated Circuits Over Multiple Corners. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2023 Feb 1;42(2):360–70.
- Nguyen C, Li X, Blanton S. Correlated Bayesian Co-Training for Virtual Metrology. IEEE Transactions on Semiconductor Manufacturing. 2023 Feb 1;36(1):28–36.
- Xia Z, Liu Y, Li X, Zhu X, Ma Y, Li Y, et al. SCPNet: Semantic Scene Completion on Point Cloud. In: Proceedings of the IEEE Computer Society Conference on Computer Vision and Pattern Recognition. 2023. p. 17642–51.
- Ma T, Yang X, Zhou H, Li X, Shi B, Liu J, et al. DetZero: Rethinking Offboard 3D Object Detection with Long-term Sequential Point Clouds. In: Proceedings of the IEEE International Conference on Computer Vision. 2023. p. 6713–24.
- Kong L, Liu Y, Li X, Chen R, Zhang W, Ren J, et al. Robo3D: Towards Robust and Reliable 3D Perception against Corruptions. In: Proceedings of the IEEE International Conference on Computer Vision. 2023. p. 19937–49.
- Li X, Ma T, Hou Y, Shi B, Yang Y, Liu Y, et al. LoGoNet: Towards Accurate 3D Object Detection with Local-to-Global Cross- Modal Fusion. In: Proceedings of the IEEE Computer Society Conference on Computer Vision and Pattern Recognition. 2023. p. 17524–34.
- Liu Y, Chen R, Li X, Kong L, Yang Y, Xia Z, et al. UniSeg: A Unified Multi-Modal LiDAR Segmentation Network and the OpenPCSeg Codebase. In: Proceedings of the IEEE International Conference on Computer Vision. 2023. p. 21605–16.
- Liu M, Li X, Chakrabarty K. Machine Learning Support for Board-Level Functional Fault Diagnosis. In: Machine Learning Support for Fault Diagnosis of System-on-Chip. 2023. p. 247–73.
- Tao J, Yu H, Su Y, Zhou D, Zeng X, Li X. Correlated Rare Failure Analysis via Asymptotic Probability Evaluation. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2022 Apr 1;41(4):813–26.
- Gao Z, Tao J, Su Y, Zhou D, Zeng X, Li X. Fast Statistical Analysis of Rare Failure Events with Truncated Normal Distribution in High-Dimensional Variation Space. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2022 Mar 1;41(3):789–93.
- Liu M, Li X, Chakrabarty K, Gu X. Knowledge Transfer in Board-Level Functional Fault Diagnosis Enabled by Domain Adaptation. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2022 Mar 1;41(3):762–75.
- Li X, Shi B, Hou Y, Wu X, Ma T, Li Y, et al. Homogeneous Multi-modal Feature Fusion and Interaction for 3D Object Detection. In: Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics). 2022. p. 691–707.
- Pan R, Li X, Chakrabarty K. Semi-Supervised Root-Cause Analysis with Co-Training for Integrated Systems. In: Proceedings of the IEEE VLSI Test Symposium. 2022.
- Cao K, Hu S, Shi Y, Colombo AW, Karnouskos S, Li X. A Survey on Edge and Edge-Cloud Computing Assisted Cyber-Physical Systems. IEEE Transactions on Industrial Informatics. 2021 Nov 1;17(11):7806–19.
- Hu S, Shi Y, Colombo A, Karnouskos S, Li X. Guest Editorial: Cloud-Edge Computing for Cyber-Physical Systems and Internet of Things. IEEE Transactions on Industrial Informatics. 2021 Nov 1;17(11):7802–5.
- Zhao S, Li X, Chen YC. Robust Classification with Noisy Labels for Manufacturing Applications: A Hybrid Approach Based on Active Learning and Data Cleaning. In: IECON Proceedings (Industrial Electronics Conference). 2021.
- Liu M, Ye F, Li X, Chakrabarty K, Gu X. Board-Level Functional Fault Identification Using Streaming Data. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2021 Sep 1;40(9):1920–33.
- Pan R, Li X, Chakrabarty K. Unsupervised root-cause analysis with transfer learning for integrated systems. In: Proceedings of the IEEE VLSI Test Symposium. 2021.
- Li M, Xu H, Huang X, Song Z, Liu X, Li X. Facial Expression Recognition with Identity and Emotion Joint Learning. IEEE Transactions on Affective Computing. 2021 Apr 1;12(2):544–50.
- Pan R, Zhang Z, Li X, Chakrabarty K, Gu X. Black-Box Test-Cost Reduction Based on Bayesian Network Models. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2021 Feb 1;40(2):386–99.
- Peng F, Yu H, Tao J, Su Y, Zhou D, Zeng X, et al. Efficient Statistical Analysis for Correlated Rare Failure Events via Asymptotic Probability Approximation. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2020 Dec 1;39(12):4971–84.
- Hu S, Li X, He H, Cui S, Parashar M. Big Data for Cyber-Physical Systems. IEEE Transactions on Big Data. 2020 Dec 1;6(4):606–8.
- Li X, Wu X, Ma T, Zhou Z, Chen L, He L. Margin Guidance Network for Arbitrary-shaped Scene Text Detection. In: Proceedings - International Conference on Tools with Artificial Intelligence, ICTAI. 2020. p. 1111–7.
- Pan R, Zhang Z, Li X, Chakrabarty K, Gu X. Unsupervised Root-Cause Analysis for Integrated Systems. In: Proceedings - International Test Conference. 2020.
- Li L, Liu Y, Wei T, Li X. Exploring Inter-Sensor Correlation for Missing Data Estimation. In: IECON Proceedings (Industrial Electronics Conference). 2020. p. 2108–14.
- Gao Z, Tao J, Su Y, Zhou D, Zeng X, Li X. Efficient Rare Failure Analysis over Multiple Corners via Correlated Bayesian Inference. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2020 Oct 1;39(10):2029–41.
- Liu M, Pan R, Ye F, Li X, Chakrabarty K, Gu X. Fine-grained Adaptive Testing Based on Quality Prediction. In: ACM Transactions on Design Automation of Electronic Systems. 2020.
- Nguyen C, Li X, Blanton S. Efficient Classification via Partial Co-Training for Virtual Metrology. In: IEEE International Conference on Emerging Technologies and Factory Automation, ETFA. 2020. p. 753–60.
- Zhao S, Li X, Chen YC. A Classification Framework Using Imperfectly Labeled Data for Manufacturing Applications. In: IEEE International Conference on Emerging Technologies and Factory Automation, ETFA. 2020. p. 921–8.
- Li X, Wen X, Jin B, Wang X, Wang J, Cai J. Visual-to-Semantic Hashing for Zero Shot Learning. In: Proceedings of the International Joint Conference on Neural Networks. 2020.
- Nguyen CM, Li X, Blanton RDS. Partial Bayesian Co-training for Virtual Metrology. IEEE Transactions on Industrial Informatics. 2020 May 1;16(5):2937–45.
- Tao J, Zeng X, Li X. Response surface modeling. In: Modelling Methodologies in Analogue Integrated Circuit Design. 2020. p. 7–37.
- Li X, Wang X, Jin B, Zhang W, Wang J, Zha H. VSB2-Net: Visual-semantic bi-branch network for zero-shot hashing. In: Proceedings - International Conference on Pattern Recognition. 2020. p. 1836–43.
- Seo JS, Cao Y, Li X, Whatmough P. Guest editors’ introduction: Hardware and algorithms for energy-constrained on-chip machine learning (part 2). ACM Journal on Emerging Technologies in Computing Systems. 2019 Dec 1;15(4).
- Pan R, Tao J, Su Y, Zhou D, Zeng X, Li X. Analog/RF post-silicon tuning via Bayesian optimization. ACM Transactions on Design Automation of Electronic Systems. 2019 Dec 1;25(1).
- Feng X, Jiang Y, Yang X, Du M, Li X. Computer vision algorithms and hardware implementations: A survey. Integration. 2019 Nov 1;69:309–20.
- Liu M, Li X, Chakrabarty K, Gu X. Knowledge transfer in board-level functional fault identification using domain adaptation. In: Proceedings - International Test Conference. 2019.
- Xing YL, Wang J, Li X, Zhu LF, Zhao HB. Track Circuit Signal Denoising Method Based on Q-Learning Algorithm. In: 2019 IEEE Intelligent Transportation Systems Conference, ITSC 2019. 2019. p. 2503–8.
- Tao J, Su Y, Zhou D, Zeng X, Li X. Graph-Constrained Sparse Performance Modeling for Analog Circuit Optimization via SDP Relaxation. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2019 Aug 1;38(8):1385–98.
- Seo JS, Cao Y, Li X, Whatmough P. Guest editors' introduction to the special section on hardware and algorithms for energy-constrained on-chip machine learning. ACM Journal on Emerging Technologies in Computing Systems. 2019 Jun 1;15(2).
- Cui G, Yu W, Li X, Zeng Z, Gu B. Machine-Learning-Driven Matrix Ordering for Power Grid Analysis. In: Proceedings of the 2019 Design, Automation and Test in Europe Conference and Exhibition, DATE 2019. 2019. p. 984–7.
- Pan R, Zhang Z, Li X, Chakrabarty K, Gu X. Black-Box Test-Coverage Analysis and Test-Cost Reduction Based on a Bayesian Network Model. In: Proceedings of the IEEE VLSI Test Symposium. 2019.
- Liu M, Ye F, Li X, Chakrabarty K, Gu X. Board-Level Functional Fault Identification using Streaming Data. In: Proceedings of the IEEE VLSI Test Symposium. 2019.
- Saragadam V, Li X, Sankaranarayanan AC. Cross-Scale Predictive Dictionaries. IEEE transactions on image processing : a publication of the IEEE Signal Processing Society. 2019 Feb;28(2):803–14.
- Boning DS, Elfadel IAM, Li X. A Preliminary Taxonomy for Machine Learning in VLSI CAD. In: Machine Learning in VLSI Computer-Aided Design. 2019. p. 1–16.
- Tao J, Wang F, Cachecho P, Zhang W, Sun S, Li X, et al. Large-Scale Circuit Performance Modeling by Bayesian Model Fusion. In: Machine Learning in VLSI Computer-Aided Design. 2019. p. 403–22.
- Yu H, Shi W, Alawieh MB, Yan C, Zeng X, Li X. Efficient Statistical Validation of Autonomous Driving Systems. In: Unmanned System Technologies. 2019. p. 5–32.
- Yu H, Li X, Murray RM, Ramesh S, Tomlin CJ. Introduction. In: Unmanned System Technologies. 2019. p. 1–4.
- Elfadel IAM, Boning DS, Li X. Machine Learning in VLSI Computer-Aided Design. 2019.
- Tao J, Sun S, Li X, Liu H, Luo K, Gu B, et al. Fast Statistical Analysis of Rare Circuit Failure Events. In: Machine Learning in VLSI Computer-Aided Design. 2019. p. 349–73.
- Tao J, Zhang W, Li X, Liu F, Acar E, Rutenbar RA, et al. Efficient Process Variation Characterization by Virtual Probe. In: Machine Learning in VLSI Computer-Aided Design. 2019. p. 201–31.
- Alawieh MB, Wang F, Li X. Efficient hierarchical performance modeling for analog and mixed-signal circuits via Bayesian co-learning. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2018 Dec 1;37(12):2986–98.
- Jun M, Negi R, Yin S, Alawieh M, Wang F, Sunny M, et al. Environment-Adaptable Fast Multi-Resolution (EAF-MR) optimization in large-scale RF-FPGA systems. Eurasip Journal on Wireless Communications and Networking. 2018 Dec 1;2018(1).
- Wei T, Chen X, Li X, Zhu Q. Model-based and data-driven approaches for building automation and control. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2018.
- Liu Y, Li X. Predictive Modeling for Advanced Virtual Metrology: A Tree-Based Approach. In: IEEE International Conference on Emerging Technologies and Factory Automation, ETFA. 2018. p. 845–52.
- Zhu Q, Sangiovanni-Vincentelli A, Hu S, Li X. Design Automation for Cyber-Physical Systems [Scanning the Issue]. Proceedings of the IEEE. 2018 Sep 1;106(9):1479–83.
- Liu Y, Chen X, Kadambi D, Bari A, Li X, Hu S, et al. Dependable visual light-based indoor localization with automatic anomaly detection for location-based service of mobile cyber-physical systems. ACM Transactions on Cyber-Physical Systems. 2018 Aug 1;3(1).
- Zhang B, Li X, Han J, Zeng X. MiniTracker: A Lightweight CNN-based System for Visual Object Tracking on Embedded Device. In: International Conference on Digital Signal Processing, DSP. 2018.
- Liu M, Pan R, Ye F, Li X, Chakrabarty K, Gu X. Fine-Grained Adaptive Testing Based on Quality Prediction. In: Proceedings - International Test Conference. 2018.
- Cao Y, Li X, Seo JS, Dasika G. Guest editors' introduction: Frontiers of hardware and algorithms for on-chip learning. ACM Journal on Emerging Technologies in Computing Systems. 2018 Jul 1;14(2).
- Albalawi H, Li X. Single-Channel Real-Time Drowsiness Detection Based on Electroencephalography. In: Annual International Conference of the IEEE Engineering in Medicine and Biology Society IEEE Engineering in Medicine and Biology Society Annual International Conference. 2018. p. 98–101.
- Pan J, Li M, Song Z, Liu X, Yi H, Zhu M, et al. An efficient audio based performance evaluation system for computer assisted piano learning. In: ICNC-FSKD 2017 - 13th International Conference on Natural Computation, Fuzzy Systems and Knowledge Discovery. 2018. p. 2694–8.
- Xue Y, Li X, Blanton RD. Improving Diagnostic Resolution of Failing ICs Through Learning. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2018 Jun 1;37(6):1288–97.
- Alawieh MB, Wang F, Li X. Identifying wafer-level systematic failure patterns via unsupervised learning. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2018 Apr 1;37(4):832–44.
- Yu H, Li X. Intelligent corner synthesis via cycle-consistent generative adversarial networks for efficient validation of autonomous driving systems. In: Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC. 2018. p. 9–15.
- Ghai D, Li X, Ghosal P. Message from the general chairs. In: Proceedings - 2017 IEEE International Symposium on Nanoelectronic and Information Systems, iNIS 2017. 2018. p. xi.
- Alawieh M, Wang F, Tao J, Yin S, Jun M, Li X, et al. Efficient programming of reconfigurable radio frequency (RF) systems. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2017. p. 772–9.
- Yu H, Yan C, Zeng X, Li X. Impact of circuit-level non-idealities on vision-based autonomous driving systems. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2017. p. 976–83.
- Shi W, Alawieh MB, Li X, Yu H. Algorithm and hardware implementation for visual perception system in autonomous vehicle: A survey. Integration, the VLSI Journal. 2017 Sep 1;59:148–56.
- Cai Y, Li X, Han J, Zeng X. A configurable nonlinear operation unit for neural network accelerator. In: Proceedings of International Conference on ASIC. 2017. p. 319–22.
- Li X, Cai Y, Han J, Zeng X. A high utilization FPGA-based accelerator for variable-scale convolutional neural network. In: Proceedings of International Conference on ASIC. 2017. p. 944–7.
- Li X, Liu J, Chen S, Zhang S, Deng B, Xiao T, et al. Process optimization of inductively coupled plasma etching for large aspect ratio silicon nanopillars. Qiangjiguang Yu Lizishu/High Power Laser and Particle Beams. 2017 Jul 1;29(7).
- Nguyen C, Li X, Blanton RDS. Partial Co-training for virtual metrology. In: IEEE International Conference on Emerging Technologies and Factory Automation, ETFA. 2017. p. 1–8.
- Alawieh M, Wang F, Li X. Efficient Hierarchical Performance Modeling for Integrated Circuits via Bayesian Co-Learning. In: Proceedings - Design Automation Conference. 2017.
- Tao J, Yu H, Zhou D, Su Y, Zeng X, Li X. Correlated Rare Failure Analysis via Asymptotic Probability Evaluation. In: Proceedings - Design Automation Conference. 2017.
- Saragadam V, Wang J, Li X, Sankaranarayanan AC. Compressive spectral anomaly detection. In: 2017 IEEE International Conference on Computational Photography, ICCP 2017 - Proceedings. 2017.
- Albalawi H, Li Y, Li X. Training fixed-point classifiers for on-chip low-power implementation. ACM Transactions on Design Automation of Electronic Systems. 2017 Jun 1;22(4).
- Zeng W, Zhu H, Zeng X, Zhou D, Liu R, Li X. C-YES: An Efficient Parametric Yield Estimation Approach for Analog and Mixed-Signal Circuits Based on Multicorner-Multiperformance Correlations. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2017 Jun 1;36(6):899–912.
- Mohanty SP, Li X, Li H, Cao Y. Guest Editorial Special Issue on Nanoelectronic Devices and Circuits for Next Generation Sensing and Information Processing. IEEE Transactions on Nanotechnology. 2017 May 1;16(3):383–6.
- Li X, Shawn Blanton RD, Grover P, Thomas DE. Ultra-low-power biomedical circuit design and optimization: Catching the don't cares. In: Emerging Technology and Architecture for Big-data Analytics. 2017. p. 159–73.
- Wang Y, Li X, Xu K, Ren F, Yu H. Data-Driven Sampling Matrix Boolean Optimization for Energy-Efficient Biomedical Signal Acquisition by Compressive Sensing. IEEE transactions on biomedical circuits and systems. 2017 Apr;11(2):255–66.
- Shi W, Li X, Yu Z, Overett G. An FPGA-Based Hardware Accelerator for Traffic Sign Detection. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 2017 Apr 1;25(4):1362–72.
- Liu X, Sun S, Li X, Qian H, Zhou P. Machine Learning for Noise Sensor Placement and Full-Chip Voltage Emergency Detection. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2017 Mar 1;36(3):421–34.
- Wang M, Yan C, Li X, Zhou D, Zeng X. High-Dimensional and Multiple-Failure-Region Importance Sampling for SRAM Yield Analysis. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 2017 Mar 1;25(3):806–19.
- Blanton RDS, Wang F, Xue C, Nag PK, Xue Y, Li X. DFM evaluation using IC diagnosis data. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2017 Mar 1;36(3):463–74.
- Cao Y, Li X, Kim T, Gupta S. Guest editors' introduction: Hardware and algorithms for on-chip learning. ACM Journal on Emerging Technologies in Computing Systems. 2017 Feb 1;13(3).
- Zhang K, Wu Q, Li X. Relay participated-new-type building energy management system: An energy-efficient routing scheme for wireless sensor network-based building energy management systems. International Journal of Distributed Sensor Networks. 2017 Jan 1;13(1).
- Baker K, Hug G, Li X. Energy Storage Sizing Taking Into Account Forecast Uncertainties and Receding Horizon Operation. IEEE Transactions on Sustainable Energy. 2017 Jan 1;8(1):331–40.
- Li X, Hu S, Zhu Q. Guest editorial: Special issue on smart homes, buildings and infrastructures. ACM Transactions on Cyber-Physical Systems. 2017 Jan 1;1(4).
- Pan J, Li M, Song Z, Li X, Liu X, Yi H, et al. An audio based piano performance evaluation method using deep neural network based acoustic modeling. In: Proceedings of the Annual Conference of the International Speech Communication Association, INTERSPEECH. 2017. p. 3088–92.
- Pandey A, Jereminov M, Li X, Hug G, Pileggi L. Aggregated load and generation equivalent circuit models with semi-empirical data fitting. In: 2016 IEEE Green Energy and Systems Conference, IGSEC 2016. 2016.
- Pandey A, Jereminov M, Li X, Hug G, Pileggi L. Unified power system analyses and models using equivalent circuit formulation. In: 2016 IEEE Power and Energy Society Innovative Smart Grid Technologies Conference, ISGT 2016. 2016.
- Liao C, Tao J, Yu H, Tang Z, Su Y, Zhou D, et al. Efficient Hybrid Performance Modeling for Analog Circuits Using Hierarchical Shrinkage Priors. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2016 Dec 1;35(12):2148–52.
- Yu H, Tao J, Liao C, Su Y, Zhou D, Zeng X, et al. Efficient statistical analysis for correlated rare failure events via asymptotic probability approximation. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2016.
- Shi W, Alawieh MB, Li X, Yu H, Arechiga N, Tomatsu N. Efficient statistical validation of machine learning systems for autonomous driving. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2016.
- Li X, Kashyap C, Myers CJ. Guest Editors' Introduction Challenges and Opportunities in Analog/Mixed-Signal CAD. IEEE Design and Test. 2016 Oct 1;33(5):5–6.
- Chen X, Li X, Tan SXD. Overview of cyber-physical temperature estimation in smart buildings: From modeling to measurements. In: Proceedings - IEEE INFOCOM. 2016. p. 251–6.
- Li HH, Li X. Message from the general chairs. In: Proceedings of IEEE Computer Society Annual Symposium on VLSI, ISVLSI. 2016. p. xvii.
- Ghosh S, Iyengar A, Motaman S, Govindaraj R, Jang JW, Chung J, et al. Overview of Circuits, Systems, and Applications of Spintronics. IEEE Journal on Emerging and Selected Topics in Circuits and Systems. 2016 Sep 1;6(3):265–8.
- Ghosh S, Joshi RV, Somasekhar D, Li X. Guest Editorial Emerging Memories - Technology, Architecture and Applications (Second Issue). IEEE Journal on Emerging and Selected Topics in Circuits and Systems. 2016 Sep 1;6(3):261–4.
- Chen X, Wang L, Li B, Wang Y, Li X, Liu Y, et al. Modeling Random Telegraph Noise as a Randomness Source and its Application in True Random Number Generation. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2016 Sep 1;35(9):1435–48.
- Saragadam V, Sankaranarayanan AC, Li X. Cross-scale predictive dictionaries for image and video restoration. In: Proceedings - International Conference on Image Processing, ICIP. 2016. p. 709–13.
- Wang F, Cachecho P, Zhang W, Sun S, Li X, Kanj R, et al. Bayesian model fusion: Large-scale performance modeling of analog and mixed-signal circuits by reusing early-stage data. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2016 Aug 1;35(8):1255–68.
- Alawieh MB, Wang F, Li X. Identifying systematic spatial failure patterns through wafer clustering. In: Proceedings - IEEE International Symposium on Circuits and Systems. 2016. p. 910–3.
- Chen X, Li X. Virtual temperature measurement for smart buildings via Bayesian model fusion. In: Proceedings - IEEE International Symposium on Circuits and Systems. 2016. p. 950–3.
- Zhang K, Feng Z, Li X. Weight-based link scheduling for convergecast in WirelessHART network. International Journal of Distributed Sensor Networks. 2016 Jul 22;12(7).
- Jereminov M, Bromberg DM, Pandey A, Li X, Hug G, Pileggi L. An equivalent circuit formulation for three-phase power flow analysis of distribution systems. In: Proceedings of the IEEE Power Engineering Society Transmission and Distribution Conference. 2016.
- Jereminov M, Bromberg DM, Li X, Hug G, Pileggi L. Improving robustness and modeling generality for power flow analysis. In: Proceedings of the IEEE Power Engineering Society Transmission and Distribution Conference. 2016.
- Jereminov M, Pandey A, Bromberg DM, Li X, Hug G, Pileggi L. Steady-state analysis of power system harmonics using equivalent split-circuit models. In: IEEE PES Innovative Smart Grid Technologies Conference Europe. 2016.
- Lim C, Xue Y, Li X, Blanton RD, Amyeen ME. Diagnostic resolution improvement through learning-guided physical failure analysis. In: Proceedings - International Test Conference. 2016.
- Wang F, Li X. Correlated Bayesian Model Fusion: Efficient performance modeling of large-scale tunable analog/RF integrated circuits. In: Proceedings - Design Automation Conference. 2016.
- Liao C, Tao J, Zeng X, Su Y, Zhou D, Li X. Efficient Spatial Variation Modeling of Nanoscale Integrated Circuits Via Hidden Markov Tree. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2016 Jun 1;35(6):971–84.
- Ghosh S, Joshi RV, Somasekhar D, Li X. Guest Editorial Emerging Memories - Technology, Architecture and Applications (First Issue). IEEE Journal on Emerging and Selected Topics in Circuits and Systems. 2016 Jun 1;6(2):105–8.
- Zhao Y, Kim T, Shin H, Tan SXD, Li X, Chen H, et al. Statistical rare-event analysis and parameter guidance by elite learning sample selection. ACM Transactions on Design Automation of Electronic Systems. 2016 May 27;21(4).
- Alawieh MB, Wang F, Kanj R, Li X, Joshi R. Efficient analog circuit optimization using sparse regression and error margining. In: Proceedings - International Symposium on Quality Electronic Design, ISQED. 2016. p. 410–5.
- Mahzoon M, Li C, Li X, Grover P. Energy-constrained distributed learning and classification by exploiting relative relevance of sensors' data. IEEE Journal on Selected Areas in Communications. 2016 May 1;34(5):1417–30.
- Liao C, Tao J, Zeng X, Su Y, Zhou D, Li X. Efficient spatial variation modeling via robust dictionary learning. In: Proceedings of the 2016 Design, Automation and Test in Europe Conference and Exhibition, DATE 2016. 2016. p. 121–6.
- Wu PH, Lin MPH, Li X, Ho TY. Parasitic-aware common-centroid FinFET placement and routing for current-ratio matching. In: ACM Transactions on Design Automation of Electronic Systems. 2016.
- Li C, Li X, Grover P. Energy efficient learning and classification for distributed sensing. In: 2016 8th International Conference on Communication Systems and Networks, COMSNETS 2016. 2016.
- Wang F, Yin S, Jun M, Li X, Mukherjee T, Negi R, et al. Re-thinking polynomial optimization: Efficient programming of reconfigurable radio frequency (RF) systems by convexification. In: Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC. 2016. p. 545–50.
- Liu W, Wang H, Zhao H, Wang S, Chen H, Fu Y, et al. Thermal modeling for energy-efficient smart building with advanced overfitting mitigation technique. In: Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC. 2016. p. 417–22.
- Baker K, Guo J, Hug G, Li X. Distributed MPC for Efficient Coordination of Storage and Renewable Energy Sources Across Control Areas. IEEE Transactions on Smart Grid. 2016 Mar 1;7(2):992–1001.
- Zhao Y, Shin H, Chen H, Tan SXD, Shi G, Li X. Statistical rare event analysis using smart sampling and parameter guidance. In: International System on Chip Conference. 2016. p. 53–8.
- Wang F, Zaheer M, Li X, Plouchart JO, Valdes-Garcia A. Co-Learning Bayesian Model Fusion: Efficient performance modeling of analog and mixed-signal circuits using side information. In: 2015 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2015. 2016. p. 575–82.
- Blanton RD, Li X, Mai K, Marculescu D, Marculescu R, Paramesh J, et al. Statistical learning in chip (SLIC). In: 2015 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2015. 2016. p. 664–9.
- Zhao H, Quach D, Wang S, Wang H, Chen H, Li X, et al. Learning based compact thermal modeling for energy-efficient smart building management. In: 2015 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2015. 2016. p. 450–6.
- Chen X, Li X, Tan SXD. From robust chip to smart building: CAD algorithms and methodologies for uncertainty analysis of building performance. In: 2015 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2015. 2016. p. 457–64.
- Sun S, Wang F, Yaldiz S, Li X, Pileggi L, Natarajan A, et al. Self-healing analog/RF circuits. In: Nano-CMOS and Post-CMOS Electronics: Circuits and Design. 2016. p. 1–34.
- Chen X, Chen HB, Ma W, Li X, Tan SXD. Energy-efficient wireless temperature sensoring for smart building applications. In: 2016 13th IEEE International Conference on Solid-State and Integrated Circuit Technology, ICSICT 2016 - Proceedings. 2016. p. 680–3.
- Huang Q, Fang C, Yang F, Zeng X, Zhou D, Li X. Efficient Performance Modeling via Dual-Prior Bayesian Model Fusion for Analog and Mixed-Signal Circuits. In: Proceedings - Design Automation Conference. 2016.
- Fang C, Huang Q, Yang F, Zeng X, Zhou D, Li X. Efficient Performance Modeling of Analog Integrated Circuits via Kernel Density Based Sparse Regression. In: Proceedings - Design Automation Conference. 2016.
- Tao J, Liao C, Zeng X, Li X. Harvesting Design Knowledge From the Internet: High-Dimensional Performance Tradeoff Modeling for Large-Scale Analog Circuits. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2016 Jan 1;35(1):23–36.
- Zhang J, Li X, Foldes ST, Wang W, Collinger JL, Weber DJ, et al. Decoding Brain States Based on Magnetoencephalography From Prespecified Cortical Regions. IEEE transactions on bio-medical engineering. 2016 Jan;63(1):30–42.
- Huang S, Han J, Li X, Yang Z, Zeng X. A low-cost and energy-efficient EEG processor for continuous seizure detection using wavelet transform and AdaBoost. In: Proceedings - 2016 IEEE Biomedical Circuits and Systems Conference, BioCAS 2016. 2016. p. 344–7.
- Plouchart JO, Wang F, Balteanu A, Parker B, Sanduleanu MAT, Yeck M, et al. A 18mW, 3.3dB NF, 60GHz LNA in 32nm SOI CMOS technology with autonomic NF calibration. In: Digest of Papers - IEEE Radio Frequency Integrated Circuits Symposium. 2015. p. 319–22.
- Sun S, Li X. Fast statistical analysis of rare circuit failure events via Bayesian scaled-sigma sampling for high-dimensional variation space. In: Proceedings of the Custom Integrated Circuits Conference. 2015.
- Chen X, Bromberg D, Li X, Pileggi L, Hug G. A robust and efficient power series method for tracing PV curves. In: 2015 North American Power Symposium, NAPS 2015. 2015.
- Bromberg DM, Jereminov M, Li X, Hug G, Pileggi L. An equivalent circuit formulation of the power flow problem with current and voltage state variables. In: 2015 IEEE Eindhoven PowerTech, PowerTech 2015. 2015.
- Wen W, Wu CR, Hu X, Liu B, Ho TY, Li X, et al. An EDA framework for large scale hybrid neuromorphic computing systems. In: Proceedings - Design Automation Conference. 2015.
- Zaheer M, Wang F, Gu C, Li X. MTunes: Efficient post-silicon tuning of mixed-signal/RF integrated circuits based on Markov decision process. In: Proceedings - Design Automation Conference. 2015.
- Liu B, Li H, Chen Y, Li X, Wu Q, Huang T. Vortex: Variation-aware training for memristor X-bar. In: Proceedings - Design Automation Conference. 2015.
- Sun S, Li X, Liu H, Luo K, Gu B. Fast Statistical Analysis of Rare Circuit Failure Events via Scaled-Sigma Sampling for High-Dimensional Variation Space. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2015 Jul 1;34(7):1096–109.
- Huang Q, Fang C, Yang F, Zeng X, Li X. Efficient multivariate moment estimation via Bayesian model fusion for analog and mixed-signal circuits. In: Proceedings - Design Automation Conference. 2015.
- Jeong H, Won M, Shi W, Weldon JA, Li X, Wang K. Feasibility study of a dual-gate photosensitive thin-film transistor for fingerprint sensor integrated active-matrix display. In: Digest of Technical Papers - SID International Symposium. 2015. p. 1131–4.
- Liu B, Wen W, Chen Y, Li X, Wu CR, Ho TY. EDA challenges for memristor-crossbar based neuromorphic computing. In: Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI. 2015. p. 185–8.
- Liaperdos J, Stratigopoulos HG, Abdallah L, Tsiatouhas Y, Arapoyanni A, Li X. Fast deployment of alternate analog test using Bayesian model fusion. In: Proceedings -Design, Automation and Test in Europe, DATE. 2015. p. 1030–5.
- Fang C, Huang Q, Yang F, Zeng X, Li X, Gu C. Efficient bit error rate estimation for high-speed link by Bayesian model fusion. In: Proceedings -Design, Automation and Test in Europe, DATE. 2015. p. 1024–9.
- Goncalves H, Li X, Correia M, Tavares V, Carulli J, Butler K. A fast spatial variation modeling algorithm for efficient test cost reduction of analog/RF circuits. In: Proceedings -Design, Automation and Test in Europe, DATE. 2015. p. 1042–7.
- Wu PH, Lin MPH, Li X, Ho TY. Common-centroid FinFET placement considering the impact of gate misalignment. In: Proceedings of the International Symposium on Physical Design. 2015. p. 25–31.
- Sun S, Li X. Fast statistical analysis of rare failure events for memory circuits in high-dimensional variation space. In: 20th Asia and South Pacific Design Automation Conference, ASP-DAC 2015. 2015. p. 302–7.
- Huang Q, Li X, Yang F, Zeng X. SIPredict: Efficient post-layout waveform prediction via System Identification. In: 20th Asia and South Pacific Design Automation Conference, ASP-DAC 2015. 2015. p. 460–5.
- Wang YC, Yin S, Jun M, Li X, Pileggi LT, Mukherjee T, et al. Accurate passivity-enforced macromodeling for RF circuits via iterative zero/pole update based on measurement data. In: 20th Asia and South Pacific Design Automation Conference, ASP-DAC 2015. 2015. p. 441–6.
- Zhu H, Wang Y, Liu F, Li X, Zeng X, Feldmann P. Efficient transient analysis of power delivery network with clock/power gating by sparse approximation. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2015 Mar 1;34(3):409–21.
- Zhang S, Li X, Blanton RDS, Da Silva JM, Carulli JM, Butler KM. Bayesian model fusion: Enabling test cost reduction of analog/RF circuits via wafer-level spatial variation modeling. In: Proceedings - International Test Conference. 2015.
- Li X, Blanton RDS, Grover P, Thomas DE. Ultra-low-power biomedical circuit design and optimization: Catching the don't cares. In: Proceedings of the 14th International Symposium on Integrated Circuits, ISIC 2014. 2015. p. 115–8.
- Blanton RD, Li X, Mai K, Marculescu D, Marculescu R, Paramesh J, et al. SLIC: Statistical learning in chip. In: Proceedings of the 14th International Symposium on Integrated Circuits, ISIC 2014. 2015. p. 119–23.
- Wu PH, Lin MPH, Chen TC, Yeh CF, Li X, Ho TY. A novel analog physical synthesis methodology integrating existent design expertise. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2015 Feb 1;34(2):199–212.
- Sun S, Li X. Fast statistical analysis of rare circuit failure events via subset simulation in high-dimensional variation space. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2015. p. 324–31.
- Zaheer M, Li X, Gu C. MPME-DP: Multi-population moment estimation via dirichlet process for efficient validation of analog/mixed-signal circuits. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2015. p. 316–23.
- Liu B, Li H, Chen Y, Li X, Huang T, Wu Q, et al. Reduction and IR-drop compensations techniques for reliable neuromorphic computing systems. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2015. p. 63–70.
- Jun M, Negi R, Yin S, Wang F, Sunny M, Mukherjee T, et al. Phase noise impairment and environment-adaptable fast (EAF) optimization for programming of reconfigurable radio frequency (RF) receivers. In: Proceedings - IEEE Global Communications Conference, GLOBECOM. 2015.
- A statistical methodology for noise sensor placement and full-chIP voltage map generation. In: Proceedings - Design Automation Conference. 2015.
- Baker K, Hug G, Li X. Optimal storage sizing using two-stage stochastic optimization for intra-hourly dispatch. In: 2014 North American Power Symposium, NAPS 2014. 2014.
- Jun M, Negi R, Tao J, Wang YC, Yin S, Mukherjee T, et al. Environment-adaptable efficient optimization for programming of reconfigurable radio frequency (RF) receivers. In: Proceedings - IEEE Military Communications Conference MILCOM. 2014. p. 1459–65.
- Tao J, Wang YC, Jun M, Li X, Negi R, Mukherjee T, et al. Toward efficient programming of reconfigurable radio frequency (RF) receivers. In: Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC. 2014. p. 256–61.
- Jun M, Negi R, Wang YC, Mukherjee T, Li X, Tao J, et al. Joint invariant estimation of RF impairments for reconfigurable Radio Frequency(RF) front-end. In: 2014 IEEE Globecom Workshops, GC Wkshps 2014. 2014. p. 954–9.
- Mahzoon M, Albalawi H, Li X, Grover P. Using relative-relevance of data pieces for efficient communication, with an application to Neural data acquisition. In: 2014 52nd Annual Allerton Conference on Communication, Control, and Computing, Allerton 2014. 2014. p. 160–6.
- Gonçalves H, Correia M, Li X, Sankaranarayanan A, Tavares V. DALM-SVD: Accelerated sparse coding through singular value decomposition of the dictionary. In: 2014 IEEE International Conference on Image Processing, ICIP 2014. 2014. p. 4907–11.
- Fang C, Yang F, Zeng X, Li X. BMF-BD: Bayesian model fusion on bernoulli distribution for efficient yield estimation of integrated circuits. In: Proceedings - Design Automation Conference. 2014.
- Minho Won, Albalawi H, Xin Li, Thomas DE. Low-power hardware implementation of movement decoding for brain computer interface with reduced-resolution discrete cosine transform. In: Annual International Conference of the IEEE Engineering in Medicine and Biology Society IEEE Engineering in Medicine and Biology Society Annual International Conference. 2014. p. 1626–9.
- Sun S, Wang F, Yaldiz S, Li X, Pileggi L, Natarajan A, et al. Indirect performance sensing for on-chip self-healing of analog and RF circuits. IEEE Transactions on Circuits and Systems I: Regular Papers. 2014 Jan 1;61(8):2243–52.
- Li M, Li X. Verification based ECG biometrics with cardiac irregular conditions using heartbeat level and segment level information fusion. In: ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing - Proceedings. 2014. p. 3769–73.
- Plouchart JO, Parker B, Sadhu B, Valdes-Garcia A, Friedman D, Sanduleanu M, et al. Adaptive circuit design methodology and test applied to millimeter-wave circuits. IEEE Design and Test. 2014 Jan 1;31(6):8–18.
- Albalawi H, Li Y, Li X. Computer-aided design of machine learning algorithm: Training fixed-point classifier for on-chip low-power implementation. In: Proceedings - Design Automation Conference. 2014.
- Gu C, Zaheer M, Li X. Multiple-population moment estimation: Exploiting interpopulation correlation for efficient moment estimation in analog/mixed-signal validation. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2014 Jan 1;33(7):961–74.
- Li X, Wang F, Sun S, Gu C. Bayesian Model Fusion: A statistical framework for efficient pre-silicon validation and post-silicon tuning of complex analog and mixed-signal circuits. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2013. p. 795–802.
- Blanton RD, Wang F, Xue C, Nag PK, Xue Y, Li X. DREAMS: DFM rule evaluation using manufactured silicon. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2013. p. 99–106.
- Sun S, Li X, Liu H, Luo K, Gu B. Fast statistical analysis of rare circuit failure events via scaled-sigma sampling for high-dimensional variation space. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2013. p. 478–85.
- Baker K, Zhu D, Hug G, Li X. Jacobian singularities in optimal power flow problems caused by intertemporal constraints. In: 45th North American Power Symposium, NAPS 2013. 2013.
- Xue Y, Poku O, Li X, Blanton RD. PADRE: Physically-aware diagnostic resolution enhancement. In: Proceedings - International Test Conference. 2013.
- Zhang Y, Li Y, Li X, Yao SC. Strip-and-zone micro-channel liquid cooling of integrated circuits chips with non-uniform power distributions. In: ASME 2013 Heat Transfer Summer Conf Collocated with the ASME 2013 7th Int Conf on Energy Sustainability and the ASME 2013 11th Int Conf on Fuel Cell Science, Engineering and Technology, HT 2013. 2013.
- Hsu CK, Lin F, Cheng KT, Zhang W, Li X, Carulli JM, et al. Test data analytics - Exploring spatial and test-item correlations in production test data. In: Proceedings - International Test Conference. 2013.
- Sun S, Li X, Gu C. Structure-aware high-dimensional performance modeling for analog and mixed-signal circuits. In: Proceedings of the Custom Integrated Circuits Conference. 2013.
- Sun S, Wang F, Yaldiz S, Li X, Pileggi L, Natarajan A, et al. Indirect performance sensing for on-chip analog self-healing via Bayesian model fusion. In: Proceedings of the Custom Integrated Circuits Conference. 2013.
- Althoff M, Rajhans A, Krogh BH, Yaldiz S, Li X, Pileggi L. Formal verification of phase-locked loops using reachability analysis and continuization. Communications of the ACM. 2013 Oct 22;56(10):97–104.
- Krieger D, McNeil M, Zhang J, Schneider W, Li X, Okonkwo DO. Referee consensus: A platform technology for nonlinear optimization. In: ACM International Conference Proceeding Series. 2013.
- Zhang W, Balakrishnan K, Li X, Boning DS, Saxena S, Strojwas A, et al. Efficient spatial pattern analysis for variation decomposition via robust sparse regression. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2013 Jul 15;32(7):1072–85.
- Zhang W, Li X, Saxena S, Strojwas A, Rutenbar R. Automatic clustering of wafer spatial signatures. In: Proceedings - Design Automation Conference. 2013.
- Gu C, Chiprout E, Li X. Efficient moment estimation with extremely small sample size via bayesian inference for analog/mixed-signal validation. In: Proceedings - Design Automation Conference. 2013.
- Wang F, Zhang W, Sun S, Li X, Gu C. Bayesian model fusion: Large-scale performance modeling of analog and mixed-signal circuits by reusing early-stage data. In: Proceedings - Design Automation Conference. 2013.
- Sadhu B, Ferriss MA, Natarajan AS, Yaldiz S, Plouchart JO, Rylyakov AV, et al. Erratum: A linearized, low phase noise VCO based 25 GHz PLL with autonomic biasing (IEEE Journal of Solid-State Circuits (2013) 48:5 (1138-1150)). IEEE Journal of Solid-State Circuits. 2013 Jun 5;48(6):1539.
- Sadhu B, Ferriss MA, Natarajan AS, Yaldiz S, Plouchart JO, Rylyakov AV, et al. A linearized, low-phase-noise VCO-based 25-GHz PLL with autonomic biasing. IEEE Journal of Solid-State Circuits. 2013 May 1;48(5):1138–50.
- Huang Y, Li W, Hu S, Xie R, Li X, Fu J, et al. A high-linearity WCDMA/GSM reconfigurable transceiver in 0.13-μm CMOS. IEEE Transactions on Microwave Theory and Techniques. 2013 Jan 1;61(1):204–17.
- Baker K, Hug G, Li X. Optimal integration of intermittent energy sources using distributed multi-step optimization. In: IEEE Power and Energy Society General Meeting. 2012.
- Baker K, Hug G, Li X. Inclusion of inter-temporal constraints into a distributed Newton-Raphson method. In: 2012 North American Power Symposium, NAPS 2012. 2012.
- Kim Y, Kim J, Kong JJ, Vijaya Kumar BVK, Li X. Verify level control criteria for multi-level cell flash memories and their applications Coding and Signal Processing for Non-Volatile Memories. Eurasip Journal on Advances in Signal Processing. 2012 Dec 1;2012(1).
- Sun S, Feng Y, Dong C, Li X. Efficient SRAM failure rate prediction via Gibbs sampling. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2012 Nov 26;31(12):1831–44.
- Li X, Zhang W, Wang F. Large-scale statistical performance modeling of analog and mixed-signal circuits. In: Proceedings of the Custom Integrated Circuits Conference. 2012.
- Yu B, Li X, Yonemura J, Wu Z, Goo JS, Thuruthiyil C, et al. Modeling local variation of low-frequency noise in MOSFETs via sum of lognormal random variables. In: Proceedings of the Custom Integrated Circuits Conference. 2012.
- Zhang W, Balakrishnan K, Li X, Boning D, Acar E, Liu F, et al. Spatial variation decomposition via sparse regression. In: ICICDT 2012 - IEEE International Conference on Integrated Circuit Design and Technology. 2012.
- Zhou H, Li X, Cher CY, Kursun E, Qian H, Yao SC. An information-theoretic framework for optimal temperature sensor allocation and full-chip thermal monitoring. In: Proceedings - Design Automation Conference. 2012. p. 642–7.
- Wang F, Keskin G, Phelps A, Rotner J, Li X, Fedder GK, et al. Statistical design and optimization for adaptive post-silicon tuning of MEMS filters. In: Proceedings - Design Automation Conference. 2012. p. 176–81.
- Juan DC, Zhou H, Marculescu D, Li X. A learning-based autoregressive model for fast transient thermal analysis of chip-multiprocessors. In: Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC. 2012. p. 597–602.
- Li X, Zhang W, Wang F, Sun S, Gu C. Efficient parametric yield estimation of analog/mixed-signal circuits via Bayesian model fusion. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2012. p. 627–34.
- Li X. Post-silicon performance modeling and tuning of analog/mixed-signal circuits via bayesian model fusion. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2012. p. 551–2.
- Zhang W, Li X, Liu F, Acar E, Rutenbar RA, Blanton RD. Virtual probe: A statistical framework for low-cost silicon characterization of nanoscale integrated circuits. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2011 Dec 1;30(12):1814–27.
- Chang HM, Cheng KT, Zhang W, Li X, Butler KM. Test cost reduction through performance prediction using virtual probe. In: Proceedings - International Test Conference. 2011.
- Zhang W, Balakrishnan K, Li X, Boning D, Rutenbar R. Toward efficient spatial variation decomposition via sparse regression. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2011. p. 162–9.
- Althoff M, Yaldiz S, Rajhans A, Li X, Krogh BH, Pileggi L. Formal verification of phase-locked loops using reachability analysis and continuization. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2011. p. 659–66.
- Yaldiz S, Calayir V, Li X, Pileggi L, Natarajan AS, Ferriss MA, et al. Indirect phase noise sensing for self-healing voltage controlled oscillators. In: Proceedings of the Custom Integrated Circuits Conference. 2011.
- Feng J, Loke ALS, Wee TT, Lackey CO, Okada LA, Schwan CT, et al. Bridging design and manufacture of analog/mixed-signal circuits in advanced CMOS. In: Digest of Technical Papers - Symposium on VLSI Technology. 2011. p. 226–7.
- Li X. Rethinking memory redundancy: Optimal bit cell repair for maximum-information storage. In: Proceedings - Design Automation Conference. 2011. p. 316–21.
- Li X, Fu J, Huang Y, Hong Z. CMOS highly linear direct-conversion transmitter for WCDMA with fine gain accuracy. Journal of Semiconductors. 2011 Aug 1;32(8).
- Zhang J, Sudre G, Li X, Wang W, Weber DJ, Bagic A. Clustering linear discriminant analysis for MEG-based brain computer interfaces. IEEE transactions on neural systems and rehabilitation engineering : a publication of the IEEE Engineering in Medicine and Biology Society. 2011 Jun;19(3):221–31.
- Dong C, Li X. Efficient SRAM failure rate prediction via Gibbs sampling. In: Proceedings - Design Automation Conference. 2011. p. 200–5.
- Sun P, Li X, Ting MY. Efficient incremental analysis of on-chip power grid via sparse approximation. In: Proceedings - Design Automation Conference. 2011. p. 676–81.
- Zhang J, Sudre G, Li X, Wang W, Weber DJ, Bagic A. Task-related MEG source localization via discriminant analysis. Annual International Conference of the IEEE Engineering in Medicine and Biology Society IEEE Engineering in Medicine and Biology Society Annual International Conference. 2011 Jan;2011:2351–4.
- Li X, Wu W, Gildenblat G, McAndrew CC, Scholten AJ. Benchmark tests for MOSFET compact models. In: Compact Modeling: Principles, Techniques and Applications. 2010. p. 75–104.
- Gildenblat G, Wu W, Li X, Van Langevelde R, Scholten AJ, Smit GDJ, et al. Surface-potential-based compact model of bulk MOSFET. In: Compact Modeling: Principles, Techniques and Applications. 2010. p. 3–40.
- Li X, Fu J, Huang Y, Hong Z. A 130nm CMOS direct conversion transmitter for WCDMA. In: ICSICT-2010 - 2010 10th IEEE International Conference on Solid-State and Integrated Circuit Technology, Proceedings. 2010. p. 684–6.
- Li X. Finding deterministic solution from underdetermined equation: Large-scale performance variability modeling of analog/RF circuits. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2010 Nov 1;29(11):1661–8.
- Zhang W, Li X, Rutenbar RA. Bayesian Virtual Probe: Minimizing variation characterizationcost for nanoscale IC technologies via Bayesian inference. In: Proceedings - Design Automation Conference. 2010. p. 262–7.
- Zhang W, Chen TH, Ting MY, Li X. Toward efficient large-scale performance modeling of integrated circuits via multi-mode/multi-corner sparse regression. In: Proceedings - Design Automation Conference. 2010. p. 897–902.
- Stevanović I, Li X, McAndrew CC, Green KR, Gildenblat G. Statistical modeling of inter-device correlations with BPV. Solid-State Electronics. 2010 Aug 1;54(8):796–800.
- Guo C, Li X, Taulu S, Wang W, Weber DJ. Real-time robust signal space separation for magnetoencephalography. IEEE transactions on bio-medical engineering. 2010 Aug;57(8):1856–66.
- Li X, McAndrew CC, Wu W, Chaudhry S, Victory J, Gildenblat G. Statistical modeling with the PSP MOSFET model. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2010 Apr 1;29(4):599–609.
- McAndrew CC, Li X, Stevanović I, Gildenblat G. Extensions to backward propagation of variance for statistical modeling. IEEE Design and Test of Computers. 2010 Mar 1;27(2):36–43.
- Zhang W, Li X, Acar E, Liu F, Rutenbar R. Multi-wafer virtual probe: Minimum-cost variation characterization by exploring wafer-to-wafer correlation. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2010. p. 47–54.
- Li X. Maximum-information storage system: Concept, implementation and application. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2010. p. 39–46.
- Li X, Rutenbar RR, Blanton RD. Virtual probe: A statistically optimal framework for minimum-cost silicon characterization of nanoscale integrated circuits. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2009. p. 433–40.
- Li X. Large-scale analog/RF performance modeling by statistical regression. In: ASICON 2009 - Proceedings 2009 8th IEEE International Conference on ASIC. 2009. p. 646–9.
- Gildenblat G, Wu W, Li X, Zhu Z, Smit GDJ, Scholten AJ, et al. Surface-potential-based MOSFET models with introduction to PSP. In: 2009 IEEE 10th Annual Wireless and Microwave Technology Conference, WAMICON 2009. 2009.
- Li X. Finding deterministic solution from underdetermined equation: Large-scale performance modeling by least angle regression. In: Proceedings - Design Automation Conference. 2009. p. 364–9.
- Hong Z, Chen TH, Ting MY, Li X. Efficient design-specific worst-case corner extraction for integrated circuits. In: Proceedings - Design Automation Conference. 2009. p. 386–9.
- Zhou Q, Yao W, Wu W, Li X, Zhu Z, Gildenblat G. Parameter extracttion for the PSP MOSFET model by the combination of genetic and levenberg-marquardt algorithms. In: IEEE International Conference on Microelectronic Test Structures. 2009. p. 137–42.
- Zhu Z, Victory J, Chaudhry S, Dong L, Yant Z, Zheng J, et al. Improved parameter extraction procedure for PSP-based MOS varactor model. In: IEEE International Conference on Microelectronic Test Structures. 2009. p. 148–53.
- Yaldiz S, Arslan U, Li X, Pileggi L. Efficient statistical analysis of read timing failures in sram circuits. In: Proceedings of the 10th International Symposium on Quality Electronic Design, ISQED 2009. 2009. p. 617–21.
- Scholten AJ, Smit GDJ, De Vries BA, Tiemeijer LF, Croon JA, Klaassen DBM, et al. The new CMC standard compact MOS model PSP: Advantages for RF applications. In: IEEE Journal of Solid-State Circuits. 2009. p. 1415–24.
- Xu Y, Hsiung KL, Li X, Pileggi LT, Boyd SP. Regular analog/RF integrated circuits design using optimization with recourse including ellipsoidal uncertainty. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2009 May 1;28(5):623–37.
- Li X, Wu W, Jha A, Gildenblat G, van Langevelde R, Smit GDJ, et al. Benchmark tests for MOSFET compact models with application to the PSP model. IEEE Transactions on Electron Devices. 2009 Jan 23;56(2):243–51.
- Wu W, Li X, Gildenblat G, Workman GO, Veeraraghavan S, McAndrew CC, et al. PSP-SOI: An advanced surface potential based compact model of partially depleted SOI MOSFETs for circuit simulations. Solid-State Electronics. 2009 Jan 1;53(1):18–29.
- Xu Y, Hsiung KL, Li X, Pileggi LT, Boyd SP. Regular analog/RF integrated circuits design using optimization with recourse including ellipsoidal uncertainty. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2009 Jan 1;28(1):623–37.
- Wang J, Yaldiz S, Li X, Pileggi LT. SRAM parametric failure analysis. In: Proceedings - Design Automation Conference. 2009. p. 496–501.
- Arslan U, McCartney MP, Bhargava M, Li X, Mai K, Pileggi LT. Variation-tolerant SRAM sense-amplifier timing using configurable replica bitlines. In: Proceedings of the Custom Integrated Circuits Conference. 2008. p. 415–8.
- Pileggi L, Keskin G, Li X, Mai K, Proesel J. Mismatch analysis and statistical design at 65 nm and below. In: Proceedings of the Custom Integrated Circuits Conference. 2008. p. 9–12.
- Wu W, Li X, Gildenblat G, Workman GO, Veeraraghavan S, Watts J. A nonlinear body resistance model for accurate PD/SOI technology characterization. In: Proceedings - IEEE International SOI Conference. 2008. p. 151–2.
- Schölten AJ, Smit GDJ, De Vries BA, Tiemeijer LF, Croon JA, Klaassen DBM, et al. (Invited) the new CMC standard compact MOS model PSP: Advantages for RF applications. In: Digest of Papers - IEEE Radio Frequency Integrated Circuits Symposium. 2008. p. 247–50.
- Li X, Liu H. Statistical regression for efficient high-dimensional modeling of analog and mixed-signal performance variations. In: Proceedings - Design Automation Conference. 2008. p. 38–43.
- Li X, Cao Y. Projection-based piecewise-linear response surface modeling for strongly nonlinear VLSI performance variations. In: Proceedings of the 9th International Symposium on Quality Electronic Design, ISQED 2008. 2008. p. 108–13.
- Li X, Le J, Celik M, Pileggi LT. Defining statistical timing sensitivity for logic circuits with large-scale process and environmental variations. In: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2008. p. 1041–54.
- Li X, Zhan Y, Pileggi LT. Quadratic statistical MAX approximation for parametric yield estimation of analog/RF integrated circuits. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2008 May 1;27(5):831–42.
- Calhoun BH, Cao Y, Li X, Mai K, Pileggi LT, Rutenbar RA, et al. Digital circuit design challenges and opportunities in the era of nanoscale CMOS. Proceedings of the IEEE. 2008 Jan 1;96(2):343–65.
- Li X, Taylor B, Chien YT, Pileggi LT. Adaptive post-silicon tuning for analog circuits: Concept, analysis and optimization. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2007. p. 450–7.
- Li X, Wu W, Jha A, Gildenblat G, Van Langevelde R, Smit GDJ, et al. Benchmarking the PSP compact model for MOS transistors. In: IEEE International Conference on Microelectronic Test Structures. 2007. p. 259–64.
- Li X, Pileggi LT. Efficient parametric yield extraction for multiple correlated non-normal performance distributions of analog/RF circuits. In: Proceedings - Design Automation Conference. 2007. p. 928–33.
- Wang J, Li X, Pileggi LT. Parameterized macromodeling for analog system-level design exploration. In: Proceedings - Design Automation Conference. 2007. p. 940–3.
- Wu W, Li X, Gildenblat G, Workman GO, Veeraraghavan S, McAndrew CC, et al. A compact model for valence-band electron tunneling current in partially depleted SOI MOSFETs. IEEE Transactions on Electron Devices. 2007 Feb 1;54(2):316–22.
- Wu W, Li X, Gildenblat G, Workman G, Veeraraghavan S, McAndrew C, et al. PSP-SOI: A surface potential based compact model of partially depleted SOI MOSFETs. In: Proceedings of the Custom Integrated Circuits Conference. 2007. p. 41–8.
- Li X, Le J, Gopalakrishnan P, Pileggi LT. Asymptotic probability extraction for nonnormal performance distributions. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2007 Jan 1;26(1):16–37.
- Li X, Gopalakrishnan P, Xu Y, Pileggi LT. Robust analog/RF circuit design with projection-based performance modeling. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2007 Jan 1;26(1):2–15.
- Gildenblat G, Li X, Wang H, Wu W, Jha A, Van Langevelde R, et al. Theory and modeling techniques used in the PSP model. In: 2006 NSTI Nanotechnology Conference and Trade Show - NSTI Nanotech 2006 Technical Proceedings. 2006. p. 604–9.
- Keskin G, Li X, Pileggi L. Active on-die suppression of power supply noise. In: Proceedings of the Custom Integrated Circuits Conference. 2006. p. 813–6.
- Li X, Le J, Pileggi LT. Statistical performance modeling and optimization. Foundations and Trends in Electronic Design Automation. 2006 Dec 1;1(4):331–480.
- Gildenblat G, Li X, Wu W, Wang H, Jha A, Van Langevelde R, et al. PSP: An advanced surface-potential-based MOSFET model for circuit simulation. IEEE Transactions on Electron Devices. 2006 Sep 1;53(9):1979–93.
- Wang H, Li X, Wu W, Gildenblat G, Van Langevelde R, Smit GDJ, et al. A unified nonquasi-static MOSFET model for large-signal and small-signal simulations. IEEE Transactions on Electron Devices. 2006 Sep 1;53(9):2035–42.
- Gopalakrishnan P, Li X, Pileggi L. Architecture-aware FPGA placement using metric embedding. In: Proceedings - Design Automation Conference. 2006. p. 460–5.
- Li X, Le J, Pileggi LT. Projection-based statistical analysis of full-chip leakage power with non-log-normal distributions. In: Proceedings - Design Automation Conference. 2006. p. 103–8.
- Xu Y, Nausieda I, Hsiung KL, Boyd S, Li X, Pileggi L. OPERA: Optimization with ellipsoidal uncertainty for robust analog IC design. In: Proceedings - Design Automation Conference. 2005. p. 632–7.
- Li P, Liu F, Li X, Pileggi LT, Nassif SR. Modeling interconnect variability using efficient parametric model order reduction. In: Proceedings -Design, Automation and Test in Europe, DATE ’05. 2005. p. 958–63.
- Li X, Le J, Pileggi LT, Strojwas A. Projection-based performance modeling for inter/intra-die variations. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2005. p. 720–6.
- Li X, Le J, Celik M, Pileggi LT. Defining statistical sensitivity for timing optimization of logic circuits with large-scale process and environmental variations. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2005. p. 844–51.
- Zhan Y, Strojwas AJ, Li X, Pileggi LT, Newmark D, Sharma M. Correlation-aware statistical timing analysis with non-gaussian delay distributions. In: Proceedings - Design Automation Conference. 2005. p. 77–82.
- Wang H, Li X, Wu W, Gildenblat G, Van Langevelde R, Smit GDJ, et al. Unified non-quasi-static MOSFET model for large-signal and small-signal simulations. In: Proceedings of the Custom Integrated Circuits Conference. 2005. p. 823–6.
- Wu W, Li X, Wang H, Gildenblat G, Workman G, Veeraraghavan S, et al. SP-SOI: A third generation surface potential based compact SOI MOSFET model. In: Proceedings of the Custom Integrated Circuits Conference. 2005. p. 819–22.
- Li X, Wang J, Pileggi LT, Chen TS, Chiang W. Performance-centering optimization for system-level analog design exploration. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2005. p. 422–9.
- Li X, Gopalakrishnan P, Xu Y, Pileggi LT. Robust analog/RF circuit design with projection-based posynomial modeling. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2004. p. 855–62.
- Li X, Le J, Gopalakrishnan P, Pileggi LT. Asymptotic probability extraction for non-normal distributions of circuit performance. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2004. p. 2–9.
- Ye D, Li X, Jiang X. Cost function selection for wavelet-based VBR video traffic smoothing. Electronics Letters. 2004 Nov 11;40(23):1509–11.
- Le J, Li X, Pileggi LT. STAC: Statistical timing analysis with correlation. In: Proceedings - Design Automation Conference. 2004. p. 343–8.
- Li X, Xu Y, Li P, Gopalakrishnan P, Pileggi LT. A frequency relaxation approach for analog/RF system-level simulation. In: Proceedings - Design Automation Conference. 2004. p. 842–7.
- Li P, Li X, Xu Y, Pileggi LT. A Hybrid Approach to Nonlinear Macromodel Generation for Time-Varying Analog Circuits. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers. 2003. p. 454–61.
- Xu Y, Li X, Li P, Pileggi L. Noise macromodel for radio frequency integrated circuits. In: Proceedings -Design, Automation and Test in Europe, DATE. 2003. p. 150–5.
- Xu Y, Li X, Li P, Pileggi L. Noise macromodel for radio frequency integrated circuits. In: Proceedings -Design, Automation and Test in Europe, DATE. 2003. p. 150–5.
- Li X, Zeng X, Zhou D, Ling X, Cai W. Behavioral modeling for analog system-level simulation by wavelet collocation method. IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing. 2003 Jun 1;50(6):299–314.
- Li X, Li P, Xu Y, Dimaggio R, Pileggi L. A frequency separation macromodel for system-level simulation of RF circuits. In: Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC. 2003. p. 891–6.
- Li X, Li P, Xu Y, Pileggi LT. Analog and RF circuit macromodels for system-level analysis. In: Proceedings - Design Automation Conference. 2003. p. 478–83.
- Li X, Zeng X, Shi J, Chen W, Zhou D, Ling X. High-speed clock tree simulation with fast wavelet collocation method. Chinese Journal of Electronics. 2003 Jan 1;12(2):259–65.
- Li X, Hu B, Ling XT, Liu Z, Chang C. FECG detection based on blind signal separation. Chinese Journal of Biomedical Engineering. 2002 Oct 20;21(5):461–5.
- Li X, Hu B, Ling X, Zeng X. A wavelet-balance approach for steady-state analysis of nonlinear circuits. IEEE Transactions on Circuits and Systems I: Fundamental Theory and Applications. 2002 May 1;49(5):689–94.
- Li X, Zeng X, Zhou D, Ling X. Wavelet method for high-speed clock tree simulation. In: Proceedings - IEEE International Symposium on Circuits and Systems. 2002.
- Li X, Hu B, Ling X, Zeng X. A wavelet balance approach for steady-state analysis of nonlinear circuits. In: ISCAS 2001 - 2001 IEEE International Symposium on Circuits and Systems, Conference Proceedings. 2001. p. 73–6.
- Li X, Hu B, Ling XT. Wavelet balance approach for steady-state analysis in nonlinear circuits. Tien Tzu Hsueh Pao/Acta Electronica Sinica. 2001 Jun 1;29(6):792–5.
- Li X, Hu B, Ling XT, Zeng X. Fast wavelet collocation method with nonlinear companding in time domain. Tien Tzu Hsueh Pao/Acta Electronica Sinica. 2001 May 1;29(5):611–4.
- Li X, Zeng X, Zhou D, Ling X. Behavioral modeling of analog circuits by wavelet collocation method. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers. 2001. p. 65–9.
- Lucas KD, Li X, Noell M, Yuan CM, Strojwas AJ. Accurate chip scale topography modeling in O(n) run time. In: International Conference on Simulation of Semiconductor Processes and Devices, SISPAD. 1996. p. 159–60.
In The News
- Duke Engineers Are Inventing Headlights That Recognize Surrounding Environments (Oct 26, 2018 | Pratt School of Engineering)
- Accelerating Self-Driving Car Innovation (Mar 5, 2018 | Pratt School of Engineering)
- Xin Li: Pushing Integrated Circuits to New Heights and New Frontiers (Oct 7, 2016 | Pratt School of Engineering)