Hai "Helen" Li
Electrical and Computer Engineering
Chair of Electrical and Computer Engineering, Marie Foote Reel E’46 Distinguished Professor
Research Themes
Artificial Intelligence & Machine Learning, Hardware & Software, Trustworthy Computing
Education
- Ph.D. Purdue University, 2004
Positions
- Professor in the Department of Electrical and Computer Engineering
- Marie Foote Reel E'46 Distinguished Professor of Electrical and Computer Engineering
- Chair of the Department of Electrical and Computer Engineering
- Professor of Computer Science
Awards, Honors, and Distinctions
- Fellow, Executive Leadership in Academic Technology, Engineering and Science (ELATES). Drexel University. 2022
- Distinguished Member. Association for Computing Machinery (ACM). 2018
- Fellow. Institute of Electrical and Electronics Engineers (IEEE). 2018
- Best Paper Award for the paper titled u201cClassification Accuracy Improvement for Neuromorphic Computing Systems with One-level Precision Synapsesu201d. Asia and South Pacific Design Automation Conference (ASPDAC). 2017
- Fulton C. Noss Faculty Fellow. University of Pittsburgh. 2016
- Best Paper Award for the paper titled u201cQuantitative Modeling of Racetrack Memory - A Tradeoff among Area, Performance, and Poweru201d. Asia and South Pacific Design Automation Conference (ASPDAC). 2015
- Air Force Summer Faculty Fellowship Program Award (AF-SFFP). AFRL/RITC. 2015
- Best Paper Award for the paper titled u201cA Weighted Sensing Scheme for ReRAM-based Cross-point Memory Arrayu201d. IEEE Computer Society Annual Symposium on VLSI (ISVLSI). 2014
- Best Paper Award for the paper titled u201cCoordinating Prefetching and STT-RAM based Last-level Cache Management for Multicore Systemsu201d. Proceedings of the 23rd ACM International Conference on Great Lakes Symposium on VLSI (GLSVLSI). 2013
- Air Force Visiting Faculty Research Program (VFRP) Fellowship. AFRL/RIB. 2013
- DARPA Young Faculty Award. Defense Advanced Research Projects Agency (DARPA). 2013
- NSF Career Award. National Science Foundation (NSF). 2012
- Air Force Summer Faculty Fellowship Program Award (AF-SFFP). AFRL/RITC. 2011
- Best Paper Award for the paper titled u201cCombined Magnetic- and Circuit-level Enhancements for the Nondestructive Self-Reference Scheme of STT-RAMu201d. ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED). 2010
- Best Paper Award for the paper titled u201cDesign Margin Exploration of Spin-Torque Transfer RAM (SPRAM)u201d. the 9th International Symposium on Quality Electronic Design (ISQED). 2008
Courses Taught
- ECE 891: Internship
- ECE 661: Computer Engineering Machine Learning and Deep Neural Nets
- ECE 550D: Fundamentals of Computer Systems and Engineering
- ECE 494: Projects in Electrical and Computer Engineering
- ECE 493: Projects in Electrical and Computer Engineering
Publications
- De V, Li H. Recap of the 61st ACM/IEEE Design Automation Conference (DAC61): The “Chips to Systems Conference” (Accepted). IEEE Design & Test. 2024 Dec;41(6):95–6.
- Li Z, Zheng Q, Ku J, Taylor B, Li H. TFSRAM: A 249.8TOPS/W Timing-to-First-Spike Compute-in-Memory Neuromorphic Processing Engine With Twin-Column SRAM Synapses. IEEE Transactions on Circuits and Systems for Artificial Intelligence. 2024 Sep;1(1):26–36.
- Kim B, Li H, Chen Y. Processing-in-Memory Designs Based on Emerging Technology for Efficient Machine Learning Acceleration. In: Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI. 2024. p. 614–9.
- Krestinskaya O, Fouda ME, Benmeziane H, El Maghraoui K, Sebastian A, Lu WD, et al. Neural architecture search for in-memory computing-based deep learning accelerators. Nature Reviews Electrical Engineering. 2024 May 20;1(6):374–90.
- Li S, Wang Y, Hanson E, Chang A, Seok Ki Y, Li H, et al. NDRec: A Near-Data Processing System for Training Large-Scale Recommendation Models. IEEE Transactions on Computers. 2024 May 1;73(5):1248–61.
- Wang B, Lin M, Zhou T, Zhou P, Li A, Pang M, et al. Efficient, Direct, and Restricted Black-Box Graph Evasion Attacks to Any-Layer Graph Neural Networks via Influence Function. In: WSDM 2024 - Proceedings of the 17th ACM International Conference on Web Search and Data Mining. 2024. p. 693–701.
- Yang X, Wang Z, Hu XS, Kim CH, Yu S, Pajic M, et al. Neuro-Symbolic Computing: Advancements and Challenges in Hardware-Software Co-Design. IEEE Transactions on Circuits and Systems II: Express Briefs. 2024 Mar 1;71(3):1683–9.
- Wu X, Hanson E, Wang N, Zheng Q, Yang X, Yang H, et al. Block-Wise Mixed-Precision Quantization: Enabling High Efficiency for Practical ReRAM-based DNN Accelerators. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2024 Jan 1;
- Wang Y, Li S, Zheng Q, Song L, Li Z, Chang A, et al. NDSEARCH: Accelerating Graph-Traversal-Based Approximate Nearest Neighbor Search through Near Data Processing. In: Proceedings - International Symposium on Computer Architecture. 2024. p. 368–81.
- Zheng Q, Li S, Wang Y, Li Z, Chen Y, Li HL. Hybrid Digital/Analog Memristor-based Computing Architecture for Sparse Deep Learning Acceleration. In: Proceedings - IEEE International Symposium on Circuits and Systems. 2024.
- Parhi KK, Li H, Kailas K, Krishnaswamy H, Alioto M, Ogorzalek M. Editorial: Special Issue for the 75th Anniversary of the IEEE Circuits and Systems Society [Editorial]. IEEE Circuits and Systems Magazine. 2024 Jan 1;24(2):3.
- Li HH. Guest Editorial Special Issue on the International Symposium on Integrated Circuits and Systems'ISICAS 2023. IEEE Transactions on Circuits and Systems I: Regular Papers. 2023 Dec 1;70(12):4678–4678.
- Kim B, Li H. Monolithic 3D stacking for neural network acceleration. Nature Electronics. 2023 Dec 1;6(12):937–8.
- Zhao W, Li HH, Zito D. Outgoing Editorial. IEEE Transactions on Circuits and Systems I: Regular Papers. 2023 Dec 1;70(12):4675–7.
- Hanson E, Li S, Zhou G, Cheng F, Wang Y, Bose R, et al. Si-Kintsugi: Towards Recovering Golden-Like Performance of Defective Many-Core Spatial Architectures for AI. In: Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2023. 2023. p. 972–85.
- Wang Y, Li S, Zheng Q, Chang A, Li H, Chen Y. EMS-i: An Efficient Memory System Design with Specialized Caching Mechanism for Recommendation Inference. ACM Transactions on Embedded Computing Systems. 2023 Sep 9;22(5 s).
- Li H, Taylor B. A Hardware and Software Co-design Framework for Energy Efficient Neuromorphic Systems. Office of Scientific and Technical Information (OSTI); 2023 Jul.
- Yang X, Yang H, Doppa JR, Pande PP, Chakrabartys K, Li H. ESSENCE: Exploiting Structured Stochastic Gradient Pruning for Endurance-Aware ReRAM-Based In-Memory Training Systems. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2023 Jul 1;42(7):2187–99.
- Li Z, Zheng Q, Chen Y, Li H. SpikeSen: Low-Latency In-Sensor-Intelligence Design With Neuromorphic Spiking Neurons. IEEE Transactions on Circuits and Systems II: Express Briefs. 2023 Jun 1;70(6):1876–80.
- Zhang T, Cheng D, He Y, Chen Z, Dai X, Xiong L, et al. NASRec: Weight Sharing Neural Architecture Search for Recommender Systems. In: ACM Web Conference 2023 - Proceedings of the World Wide Web Conference, WWW 2023. 2023. p. 1199–207.
- Joardar BK, Doppa JR, Li H, Chakrabarty K, Pande PP. ReaLPrune: ReRAM Crossbar-Aware Lottery Ticket Pruning for CNNs. IEEE Transactions on Emerging Topics in Computing. 2023 Apr 1;11(2):303–17.
- Hanson E, Horton M, Li HH, Chen Y. DefT: Boosting Scalability of Deformable Convolution Operations on GPUs. In: International Conference on Architectural Support for Programming Languages and Operating Systems - ASPLOS. 2023. p. 134–46.
- Hanson E, Li S, Qian X, Li HH, Chen Y. DyNNamic: Dynamically Reshaping, High Data-Reuse Accelerator for Compact DNNs. IEEE Transactions on Computers. 2023 Mar 1;72(3):880–92.
- Augustine C, Li H. ISLPED 2022: An Experience of a Hybrid Conference in the Time of COVID-19. IEEE Design and Test. 2023 Feb 1;40(1):105–7.
- Zheng Q, Li S, Wang Y, Li Z, Chen Y, Li HH. Accelerating Sparse Attention with a Reconfigurable Non-volatile Processing-In-Memory Architecture. In: Proceedings - Design Automation Conference. 2023.
- Song L, Chen F, Li H, Chen Y. Refloat: Low-Cost Floating-Point Processing in ReRAM for Accelerating Iterative Linear Solvers. In: International Conference for High Performance Computing, Networking, Storage and Analysis, SC. 2023.
- Zhang T, Ma M, Yan F, Li H, Chen Y. : Joint Point Interaction-Dimension Search for 3D Point Cloud. In: Proceedings - 2023 IEEE Winter Conference on Applications of Computer Vision, WACV 2023. 2023. p. 1298–307.
- Zhang J, Inkawhich N, Linderman R, Chen Y, Li H. Mixture Outlier Exposure: Towards Out-of-Distribution Detection in Fine-grained Environments. In: Proceedings - 2023 IEEE Winter Conference on Applications of Computer Vision, WACV 2023. 2023. p. 5520–9.
- Kim B, Li S, Li H. INCA: Input-stationary Dataflow at Outside-the-box Thinking about Deep Learning Accelerators. In: Proceedings - International Symposium on High-Performance Computer Architecture. 2023. p. 29–41.
- Li HH. MWSCAS Guest Editorial Special Issue Based on the 64th International Midwest Symposium on Circuits and Systems. IEEE Transactions on Circuits and Systems I: Regular Papers. 2023 Jan 1;70(1):1–2.
- Yang H, Yin H, Shen M, Molchanov P, Li H, Kautz J. Global Vision Transformer Pruning with Hessian-Aware Saliency. In: Proceedings of the IEEE Computer Society Conference on Computer Vision and Pattern Recognition. 2023. p. 18547–57.
- Tung CH, Joardar BK, Pande PP, Doppa JR, Li HH, Chakrabarty K. Dynamic Task Remapping for Reliable CNN Training on ReRAM Crossbars. In: Proceedings -Design, Automation and Test in Europe, DATE. 2023.
- Qiao X, Li H. On a New Type of Neural Computation for Probabilistic Symbolic Reasoning. In: Proceedings of the International Joint Conference on Neural Networks. 2023.
- Shafique M, Theocharides T, Li H, Jason Xue C. Introduction to the Special Issue on Accelerating AI on the Edge - Part 2. ACM Transactions on Embedded Computing Systems. 2022 Dec 12;21(6).
- Du Z, Sun J, Li A, Chen PY, Zhang J, Li H, et al. Rethinking normalization methods in federated learning. In: DistributedML 2022 - Proceedings of the 3rd International Workshop on Distributed Machine Learning, Part of CoNEXT 2022. 2022. p. 16–22.
- Li HH. Guest Editorial Special Issue on the International Symposium on Integrated Circuits and Systems - ISICAS 2022. IEEE Transactions on Circuits and Systems I: Regular Papers. 2022 Dec 1;69(12):4730.
- Hu S, Yu S, Li H, Piuri V. Guest Editorial Special Issue on Security, Privacy, and Trustworthiness in Intelligent Cyber-Physical Systems and Internet of Things. IEEE Internet of Things Journal. 2022 Nov 15;9(22):22044–7.
- Sun J, Li A, Duan L, Alam S, Deng X, Guo X, et al. FedSEA: A Semi-Asynchronous Federated Learning Framework for Extremely Heterogeneous Devices. In: SenSys 2022 - Proceedings of the 20th ACM Conference on Embedded Networked Sensor Systems. 2022. p. 106–19.
- Ogbogu C, Arka AI, Joardar BK, Doppa JR, Li H, Chakrabarty K, et al. Accelerating Large-Scale Graph Neural Network Training on Crossbar Diet. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2022 Nov 1;41(11):3626–37.
- Henkel J, Li H, Raghunathan A, Tahoori MB, Venkataramani S, Yang X, et al. Approximate computing and the efficient machine learning expedition. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2022.
- Hanson E, Li S, Li HH, Chen Y. Cascading Structured Pruning: Enabling High Data Reuse for Sparse DNN Accelerators. In: Proceedings - International Symposium on Computer Architecture. 2022. p. 522–35.
- Mao J, Yang Q, Li A, Nixon KW, Li H, Chen Y. Toward Efficient and Adaptive Design of Video Detection System with Deep Neural Networks. ACM Transactions on Embedded Computing Systems. 2022 May 1;21(3).
- Li HH, Alameldeen AR, Mutlu O. Guest Editors' Introduction: Near-Memory and In-Memory Processing. IEEE Design and Test. 2022 Apr 1;39(2):46–7.
- Chen Y, Li HH. SMALE: Enhancing Scalability of Machine Learning Algorithms on Extreme-Scale Computing Platforms. Office of Scientific and Technical Information (OSTI); 2022 Feb.
- Yang X, Yang H, Zhang J, Li HH, Chen Y. On Building Efficient and Robust Neural Network Designs. In: Conference Record - Asilomar Conference on Signals, Systems and Computers. 2022. p. 317–21.
- Zhang GL, Zhang S, Li HH, Schlichtmann U. RRAM-based Neuromorphic Computing: Data Representation, Architecture, Logic, and Programming. In: Proceedings - 2022 25th Euromicro Conference on Digital System Design, DSD 2022. 2022. p. 423–8.
- Inkawhich M, Inkawhich N, Davis E, Li H, Chen Y. The Untapped Potential of Off-the-Shelf Convolutional Neural Networks. In: Proceedings - 2022 IEEE/CVF Winter Conference on Applications of Computer Vision, WACV 2022. 2022. p. 2907–16.
- Zhang J, Du Z, Sun J, Li A, Tang M, Wu Y, et al. Next Generation Federated Learning for Edge Devices: An Overview. In: Proceedings - 2022 IEEE 8th International Conference on Collaboration and Internet Computing, CIC 2022. 2022. p. 10–5.
- Zhang J, Chen Y, Li H. Privacy Leakage of Adversarial Training Models in Federated Learning Systems. In: IEEE Computer Society Conference on Computer Vision and Pattern Recognition Workshops. 2022. p. 107–13.
- Yeats E, Liu F, Womble D, Li H. NashAE: Disentangling Representations Through Adversarial Covariance Minimization. In 2022. p. 36–51.
- Feng G, Kim B, Li HH. Bionic Robust Memristor-Based Artificial Nociception System for Robotics. In: Proceedings - IEEE International Symposium on Circuits and Systems. 2022. p. 3552–6.
- Tang M, Ning X, Wang Y, Sun J, Li H, Chen Y. FedCor: Correlation-Based Active Client Selection Strategy for Heterogeneous Federated Learning. In: Proceedings of the IEEE Computer Society Conference on Computer Vision and Pattern Recognition. 2022. p. 10092–101.
- Taylor B, Ramos N, Yeats E, Li H. CMOS Implementation of Spiking Equilibrium Propagation for Real-Time Learning. In: Proceeding - IEEE International Conference on Artificial Intelligence Circuits and Systems, AICAS 2022. 2022. p. 283–6.
- Fang H, Taylor B, Li Z, Mei Z, Li HH, Qiu Q. Neuromorphic Algorithm-hardware Codesign for Temporal Pattern Learning. In: Proceedings - Design Automation Conference. 2021. p. 361–6.
- Joardar BK, Doppa JR, Li H, Chakrabarty K, Pande PP. Learning to Train CNNs on Faulty ReRAM-based Manycore Accelerators. ACM Transactions on Embedded Computing Systems. 2021 Oct 31;20(5s):1–23.
- Li S, Hanson E, Qian X, Li HH, Chen Y. ESCALATE: Boosting the efficiency of sparse CNN accelerator with kernel decomposition. In: Proceedings of the Annual International Symposium on Microarchitecture, MICRO. 2021. p. 992–1004.
- Yang Q, Mao J, Wang Z, Hai L. Dynamic Regularization on Activation Sparsity for Neural Network Efficiency Improvement. ACM Journal on Emerging Technologies in Computing Systems. 2021 Oct 1;17(4).
- Wang B, Guo J, Li A, Chen Y, Li H. Privacy-Preserving Representation Learning on Graphs: A Mutual Information Perspective. In: Proceedings of the ACM SIGKDD International Conference on Knowledge Discovery and Data Mining. 2021. p. 1667–76.
- Wang T, Koch P, Wujek B, Liu J, Li H. The Fifth International Workshop on Automation in Machine Learning. In: Proceedings of the 27th ACM SIGKDD Conference on Knowledge Discovery & Data Mining. ACM; 2021. p. 4163–4.
- Yang C, Ding L, Chen Y, Li H. Defending against GAN-based DeepFake Attacks via Transformation-aware Adversarial Faces. In: Proceedings of the International Joint Conference on Neural Networks. 2021.
- Mao J, Yang H, Li A, Li H, Chen Y. TPrune: Efficient Transformer Pruning for Mobile Devices. ACM Transactions on Cyber-Physical Systems. 2021 Jul 1;5(3).
- Zhang J, Huang Y, Yang H, Martinez M, Hickman G, Krolik J, et al. Efficient FPGA Implementation of a Convolutional Neural Network for Radar Signal Processing. In: 2021 IEEE 3rd International Conference on Artificial Intelligence Circuits and Systems, AICAS 2021. 2021.
- Hu W, Chang CH, Sengupta A, Bhunia S, Kastner R, Li H. An Overview of Hardware Security and Trust: Threats, Countermeasures, and Design Tools. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2021 Jun 1;40(6):1010–38.
- Kim B, Hanson E, Li H. An Efficient 3D ReRAM Convolution Processor Design for Binarized Weight Networks. IEEE Transactions on Circuits and Systems II: Express Briefs. 2021 May 1;68(5):1600–4.
- Joardar BK, Doppa JR, Pande PP, Li H, Chakrabarty K. AccuReD: High Accuracy Training of CNNs on ReRAM/GPU Heterogeneous 3-D Architecture. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2021 May 1;40(5):971–84.
- Yang Q, Li H. BitSystolic: A 26.7 TOPS/W 2b8b NPU with Configurable Data Flows for Edge Devices. IEEE Transactions on Circuits and Systems I: Regular Papers. 2021 Mar 1;68(3):1134–45.
- Zhang GL, Li B, Huang X, Shen C, Zhang S, Burcea F, et al. An Efficient Programming Framework for Memristor-based Neuromorphic Computing. In: Proceedings -Design, Automation and Test in Europe, DATE. 2021. p. 1068–73.
- Ma W, Xie G, Li R, Liu W, Li HH, Chang W. Efficient AUTOSAR-Compliant CAN-FD Frame Packing with Observed Optimality. In: Proceedings -Design, Automation and Test in Europe, DATE. 2021. p. 1899–904.
- Chen F, Song L, Li HH, Chen Y. RAISE: A Resistive Accelerator for Subject-Independent EEG Signal Classification. In: Proceedings -Design, Automation and Test in Europe, DATE. 2021. p. 340–3.
- Chen F, Song L, Li H, Chen Y. Marvel: A Vertical Resistive Accelerator for Low-Power Deep Learning Inference in Monolithic 3D. In: Proceedings -Design, Automation and Test in Europe, DATE. 2021. p. 1240–5.
- Liang F, Tian Z, Dong M, Cheng S, Sun L, Li H, et al. Efficient neural network using pointwise convolution kernels with linear phase constraint. Neurocomputing. 2021 Jan 29;423:572–9.
- Zhang S, Li HH, Schlichtmann U. Connection-based Processing-In-Memory Engine Design Based on Resistive Crossbars. In: Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC. 2021. p. 107–13.
- Wang Y, Zhu Z, Chen F, Ma M, Dai G, Li H, et al. REREC: In-ReRAM Acceleration with Access-Aware Mapping for Personalized Recommendation. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2021.
- Yang X, Belakaria S, Joardar BK, Yang H, Doppa JR, Pande PP, et al. Multi-Objective Optimization of ReRAM Crossbars for Robust DNN Inferencing under Stochastic Noise. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2021.
- Zhang S, Li H, Schlichtmann U. Peripheral Circuitry Assisted Mapping Framework for Resistive Logic-In-Memory Computing. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2021.
- Sun J, Li A, Wang B, Yang H, Li H, Chen Y. Soteria: Provable Defense against Privacy Leakage in Federated Learning from Representation Perspective. In: Proceedings of the IEEE Computer Society Conference on Computer Vision and Pattern Recognition. 2021. p. 9307–15.
- Zhang Q, Wang B, Wen W, Li H, Liu J. Line Art Correlation Matching Feature Transfer Network for Automatic Animation Colorization. In: 2021 IEEE Winter Conference on Applications of Computer Vision (WACV). IEEE; 2021. p. 3871–80.
- Yeats E, Chen Y, Li H. Improving Gradient Regularization using Complex-Valued Neural Networks. In: Proceedings of Machine Learning Research. 2021. p. 11953–63.
- Li A, Sun J, Wang B, Duan L, Li S, Chen Y, et al. LotteryFL: Empower Edge Intelligence with Personalized and Communication-Efficient Federated Learning. In: 6th ACM/IEEE Symposium on Edge Computing, SEC 2021. 2021. p. 68–79.
- Sun J, Li A, DiValentin L, Hassanzadeh A, Chen Y, Li H. FL-WBC: Enhancing Robustness against Model Poisoning Attacks in Federated Learning from a Client Perspective. In: Advances in Neural Information Processing Systems. 2021. p. 12613–24.
- Joardar BK, Arka AI, Doppa JR, Pande PP, Li H, Chakrabarty K. Heterogeneous Manycore Architectures Enabled by Processing-in-Memory for Deep Learning: From CNNs to GNNs. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2021.
- Li HH. Brain Inspired Computing: The Extraordinary Voyages in Known and Unknown Worlds. In: 2021 IEEE INTERNATIONAL SYMPOSIUM ON SMART ELECTRONIC SYSTEMS (ISES 2021). 2021. p. XXXI–XXXII.
- Taylor B, Shrestha A, Qiu Q, Li H. 1S1R-based stable learning through single-spike-encoded spike-timing-dependent plasticity. In: Proceedings - IEEE International Symposium on Circuits and Systems. 2021.
- Cheng HP, Zhang T, Zhang Y, Li S, Liang F, Yan F, et al. NASGEM: Neural Architecture Search via Graph Embedding Method. In: 35th AAAI Conference on Artificial Intelligence, AAAI 2021. 2021. p. 7090–8.
- Liu X, Mao M, Bi X, Li H, Chen Y. Exploring Applications of STT-RAM in GPU Architectures. IEEE Transactions on Circuits and Systems I: Regular Papers. 2021 Jan 1;68(1):238–49.
- Chen Y, Li A, Yang H, Zhang T, Yang Y, Li H, et al. AI-Powered IoT System at the Edge. In: Proceedings - 2021 IEEE 3rd International Conference on Cognitive Machine Intelligence, CogMI 2021. 2021. p. 242–51.
- Serrano-Gotarredona T, Valle M, Conti F, Li H. Introduction to the Special Issue on the 2nd IEEE International Conference on Artificial Intelligence Circuits and Systems (AICAS 2020). IEEE Journal on Emerging and Selected Topics in Circuits and Systems. 2020 Dec 1;10(4):403–5.
- Li Z, Li B, Fan Z, Li H. RED: A ReRAM-Based Efficient Accelerator for Deconvolutional Computation. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2020 Dec 1;39(12):4736–47.
- Lan Y, Nixon KW, Guo Q, Zhang G, Xu Y, Li H, et al. FCDM: A Methodology Based on Sensor Pattern Noise Fingerprinting for Fast Confidence Detection to Adversarial Attacks. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2020 Dec 1;39(12):4791–804.
- Kurshan E, Li H, Seok M, Xie Y. A Case for 3D Integrated System Design for Neuromorphic Computing and AI Applications. International Journal of Semantic Computing. 2020 Dec 1;14(4):457–75.
- Xie Z, Li H, Xu X, Hu J, Chen Y. Fast IR Drop Estimation with Machine Learning : Invited Paper. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2020.
- Zheng Q, Li X, Wang Z, Sun G, Cai Y, Huang R, et al. MobiLattice: A Depth-wise DCNN Accelerator with Hybrid Digital/Analog Nonvolatile Processing-In-Memory Block. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2020.
- Yang X, Yan B, Li H, Chen Y. ReTransformer: ReRAM-based Processing-in-Memory Architecture for Transformer Acceleration. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2020.
- Yang C, Liu B, Li H, Chen Y, Barnell M, Wu Q, et al. Thwarting Replication Attack against Memristor-Based Neuromorphic Computing System. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2020 Oct 1;39(10):2192–205.
- Wen W, Yan F, Chen Y, Li H. AutoGrow: Automatic Layer Growing in Deep Convolutional Networks. In: Proceedings of the ACM SIGKDD International Conference on Knowledge Discovery and Data Mining. 2020. p. 833–41.
- Zhang S, Zhang GL, Li B, Li HH, Schlichtmann U. Lifetime Enhancement for RRAM-based Computing-In-Memory Engine Considering Aging and Thermal Effects. In: Proceedings - 2020 IEEE International Conference on Artificial Intelligence Circuits and Systems, AICAS 2020. 2020. p. 11–5.
- Zheng Q, Wang Z, Feng Z, Yan B, Cai Y, Huang R, et al. Lattice: An ADC/DAC-less ReRAM-based processing-in-memory architecture for accelerating deep convolution neural networks. In: Proceedings - Design Automation Conference. 2020.
- Li Z, Yan B, Li HH. ReSiPE: ReRAM-based single-spiking processing-in-memory engine. In: Proceedings - Design Automation Conference. 2020.
- Kim B, Li H. Leveraging 3D vertical RRAM to developing neuromorphic architecture for pattern classification. In: Proceedings of IEEE Computer Society Annual Symposium on VLSI, ISVLSI. 2020. p. 258–63.
- Wu C, Li H. Conditional Transferring Features: Scaling GANs to Thousands of Classes with 30% Less High-Quality Data for Training. In: Proceedings of the International Joint Conference on Neural Networks. 2020.
- Wu C, Ni B, Li H. Redistributing and Re-Stylizing Features for Training a Fast Photorealistic Stylizer. In: Proceedings of the International Joint Conference on Neural Networks. 2020.
- Song C, Cheng HP, Yang H, Li S, Wu C, Wu Q, et al. Adversarial Attack: A New Threat to Smart Devices and How to Defend It. IEEE Consumer Electronics Magazine. 2020 Jul 1;9(4):49–55.
- Yang H, Tang M, Wen W, Yan F, Hu D, Li A, et al. Learning low-rank deep neural networks via singular vector orthogonality regularization and singular value sparsification. In: IEEE Computer Society Conference on Computer Vision and Pattern Recognition Workshops. 2020. p. 2899–908.
- Zhang J, Huang J, Deisher M, Li H, Chen Y. Structural sparsification for far-field speaker recognition with intel R GNA. In: ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing - Proceedings. 2020. p. 3037–41.
- Zhang G, Li B, Wu J, Wang R, Lan Y, Sun L, et al. A low-cost and high-speed hardware implementation of spiking neural network. Neurocomputing. 2020 Mar 21;382:106–15.
- Joardar BK, Kannappan Jayakodi N, Doppa JR, Li H, Pande PP, Chakrabarty K. GRAMARCH: A GPU-ReRAM based Heterogeneous Architecture for Neural Image Segmentation. In: Proceedings of the 2020 Design, Automation and Test in Europe Conference and Exhibition, DATE 2020. 2020. p. 228–33.
- Wang Y, Chen F, Song L, Richard Shi CJ, Li HH, Chen Y. ReBoc: Accelerating Block-Circulant Neural Networks in ReRAM. In: Proceedings of the 2020 Design, Automation and Test in Europe Conference and Exhibition, DATE 2020. 2020. p. 1472–7.
- Zhang S, Li B, Li HH, Schlichtmann U. A Pulse-width Modulation Neuron with Continuous Activation for Processing-In-Memory Engines. In: Proceedings of the 2020 Design, Automation and Test in Europe Conference and Exhibition, DATE 2020. 2020. p. 1426–31.
- Song L, Chen F, Zhuo Y, Qian X, Li H, Chen Y. AccPar: Tensor partitioning for heterogeneous deep learning accelerators. In: Proceedings - 2020 IEEE International Symposium on High Performance Computer Architecture, HPCA 2020. 2020. p. 342–55.
- Li B, Doppa JR, Pande PP, Chakrabarty K, Qiu JX, Li HH. 3D-ReG: A 3D ReRAM-based Heterogeneous Architecture for Training Deep Neural Networks. ACM Journal on Emerging Technologies in Computing Systems. 2020 Jan 29;16(2).
- Zhang T, Cheng HP, Li Z, Yan F, Huang C, Li H, et al. AutoShrink: A topology-aware NAS for discovering efficient neural architecture. In: AAAI 2020 - 34th AAAI Conference on Artificial Intelligence. 2020. p. 6829–36.
- Taylor B, Li Z, Yan B, Li H, Chen Y. Highly efficient neuromorphic computing systems with emerging nonvolatile memories. In: Proceedings of SPIE - The International Society for Optical Engineering. 2020.
- Yang C, Li H, Chen Y, Hu J. Enhancing Generalization of Wafer Defect Detection by Data Discrepancy-aware Preprocessing and Contrast-varied Augmentation. In: Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC. 2020. p. 145–50.
- Chen F, Song L, Li HH, Chen Y. PARC: A Processing-in-CAM Architecture for Genomic Long Read Pairwise Alignment using ReRAM. In: Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC. 2020. p. 175–80.
- Song L, Chen F, Chen Y, Li HH. Parallelism in Deep Learning Accelerators. In: Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC. 2020. p. 645–50.
- Li S, Hanson E, Li H, Chen Y. PENNI: Pruned kernel sharing for efficient cnn inference. In: 37th International Conference on Machine Learning, ICML 2020. 2020. p. 5819–29.
- Zhang J, Yang H, Chen F, Wang Y, Li H. Exploring Bit-Slice Sparsity in Deep Neural Networks for Efficient ReRAM-Based Deployment. In: Proceedings - 5th Workshop on Energy Efficient Machine Learning and Cognitive Computing, EMC2-NIPS 2019. 2019. p. 1–5.
- Li B, Mao M, Liu X, Liu T, Liu Z, Wen W, et al. Thread batching for high-performance energy-efficient GPU memory design. ACM Journal on Emerging Technologies in Computing Systems. 2019 Dec 1;15(4).
- Yan B, Liu M, Chen Y, Chakrabarty K, Li H. On Designing Efficient and Reliable Nonvolatile Memory-Based Computing-In-Memory Accelerators. In: Technical Digest - International Electron Devices Meeting, IEDM. 2019.
- Song L, Wu Y, Qian X, Li H, Chen Y. ReBNN: in-situ acceleration of binarized neural networks in ReRAM using complementary resistive cell. CCF Transactions on High Performance Computing. 2019 Dec 1;1(3–4):196–208.
- Yang Q, Mao J, Wang Z, Li H. DASNet: Dynamic activation sparsity for neural network efficiency improvement. In: Proceedings - International Conference on Tools with Artificial Intelligence, ICTAI. 2019. p. 1401–5.
- Yan B, Li B, Qiao X, Xue C-X, Chang M, Chen Y, et al. Resistive Memory‐Based In‐Memory Computing: From Device and Large‐Scale Integration System Perspectives. Advanced Intelligent Systems. 2019 Nov;1(7).
- Chen F, Wen W, Song L, Zhang J, Li HH, Chen Y. How to obtain and run light and efficient deep learning networks. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2019.
- Bogdan P, Chen F, Deshwal A, Doppa JR, Joardar BK, Li H, et al. Taming extreme heterogeneity via machine learning based design of autonomous manycore systems. In: Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis Companion, CODES/ISSS 2019. 2019.
- Cheng HP, Zhang T, Yang Y, Yan F, Teague H, Chen Y, et al. MSNet: Structural wired neural architecture search for internet of things. In: Proceedings - 2019 International Conference on Computer Vision Workshop, ICCVW 2019. 2019. p. 2033–6.
- Mao J, Yang Q, Li A, Li H, Chen Y. MobiEye: An efficient cloud-based video detection system for real-time mobile applications. In: Proceedings - Design Automation Conference. 2019.
- Chen F, Song L, Li HH, Chen Y. ZARA: A novel zero-free dataflow accelerator for generative adversarial networks in 3D ReRAM. In: Proceedings - Design Automation Conference. 2019.
- Inkawhich N, Wen W, Li HH, Chen Y. Feature space perturbations yield more transferable adversarial examples. In: Proceedings of the IEEE Computer Society Conference on Computer Vision and Pattern Recognition. 2019. p. 7059–67.
- Yan B, Yang Q, Chen WH, Chang KT, Su JW, Hsu CH, et al. RRAM-based Spiking Nonvolatile Computing-In-Memory Processing Engine with Precision-Configurable in Situ Nonlinear Activation. In: Digest of Technical Papers - Symposium on VLSI Technology. 2019. p. T86–7.
- Joardar BK, Li B, Doppa JR, Li H, Pande PP, Chakrabarty K. REGENT: A Heterogeneous ReRAM/GPU-based Architecture Enabled by NoC for Training CNNs. In: Proceedings of the 2019 Design, Automation and Test in Europe Conference and Exhibition, DATE 2019. 2019. p. 522–7.
- Zhang S, Zhang GL, Li B, Li HH, Schlichtmann U. Aging-aware Lifetime Enhancement for Memristor-based Neuromorphic Computing. In: Proceedings of the 2019 Design, Automation and Test in Europe Conference and Exhibition, DATE 2019. 2019. p. 1751–6.
- Fan Z, Li Z, Li B, Chen Y, Li HH. RED: A ReRAM-based Deconvolution Accelerator. In: Proceedings of the 2019 Design, Automation and Test in Europe Conference and Exhibition, DATE 2019. 2019. p. 1763–8.
- Li B, Yan B, Li H. An overview of in-memory processing with emerging non-volatile memory for data-intensive applications. In: Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI. 2019. p. 381–6.
- Chen F, Song L, Li HH. Efficient process-in-memory architecture design for unsupervised GAN-based deep learning using ReRAM. In: Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI. 2019. p. 423–8.
- Zhang J, Wen W, Deisher M, Cheng HP, Li H, Chen Y. Learning Efficient Sparse Structures in Speech Recognition. In: ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing - Proceedings. 2019. p. 2717–21.
- Song L, Mao J, Zhuo Y, Qian X, Li H, Chen Y. HyPar: Towards hybrid parallelism for deep learning accelerator array. In: Proceedings - 25th IEEE International Symposium on High Performance Computer Architecture, HPCA 2019. 2019. p. 56–68.
- Guo X, Huang Y, Cheng HP, Li B, Wen W, Ma S, et al. Exploration of Automatic Mixed-Precision Search for Deep Neural Networks. In: Proceedings 2019 IEEE International Conference on Artificial Intelligence Circuits and Systems, AICAS 2019. 2019. p. 276–8.
- Min C, Mao J, Li H, Chen Y. NeuralHMC: An efficient HMC-based accelerator for deep neural networks. In: Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC. 2019. p. 432–7.
- Cheng HP, Wu Q, Shen J, Li H, Yang H, Chen Y. AdverQuil: An efficient adversarial detection and alleviation technique for black-box neuromorphic computing systems. In: Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC. 2019. p. 557–62.
- Li B, Liu C, Yan B, Li H. Build reliable and efficient neuromorphic design with memristor technology. In: Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC. 2019. p. 224–9.
- Park J, Li H, Li S, Wen W, Chen Y, Tang PTP, et al. Faster cnns with direct sparse convolutions and guided pruning. In: 5th International Conference on Learning Representations, ICLR 2017 - Conference Track Proceedings. 2019.
- Zheng Q, Kang J, Wang Z, Cai Y, Huang R, Li B, et al. Enhance the robustness to time dependent variability of ReRAM-based neuromorphic computing systems with regularization and 2R synapse. In: Proceedings - IEEE International Symposium on Circuits and Systems. 2019.
- Cheng HP, Yu P, Hu H, Zawad S, Yan F, Li S, et al. Towards decentralized deep learning with differential privacy. In: Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics). 2019. p. 130–45.
- Qiao X, Yang Y, Li H. Defending neural backdoors via generative distribution modeling. In: Advances in Neural Information Processing Systems. 2019.
- Yang J, Wang X, Zhou Q, Wang Z, Li H, Chen Y, et al. Exploiting spin-orbit torque devices as reconfigurable logic for circuit obfuscation. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2019 Jan 1;38(1):57–69.
- Nixon KW, Mao J, Shen J, Yang H, Li HH, Chen Y. SPN dash: Fast detection of adversarial attacks on mobile via sensor pattern noise fingerprinting. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2018.
- Chen F, Li H. EMAT: An Efficient Multi-Task Architecture for Transfer Learning using ReRAM. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2018.
- James AP, Salama KN, Li H, Biolek D, Indiveri G, Chua LO. Guest Editorial: Special Issue on Large-Scale Memristive Systems and Neurochips for Computational Intelligence. IEEE Transactions on Emerging Topics in Computational Intelligence. 2018 Oct 1;2(5):320–3.
- Li HH, Wang Y, Wen W. Message from the technical program chairs. In: Proceedings of IEEE Computer Society Annual Symposium on VLSI, ISVLSI. 2018. p. xiii–xiv.
- Song C, Cheng HP, Yang H, Li S, Wu C, Wu Q, et al. MAT: A multi-strength adversarial training method to mitigate adversarial attacks. In: Proceedings of IEEE Computer Society Annual Symposium on VLSI, ISVLSI. 2018. p. 476–81.
- Hassan AM, Khalaf AF, Sayed KS, Li HH, Chen Y. Real-Time Cardiac Arrhythmia Classification Using Memristor Neuromorphic Computing System. In: Annual International Conference of the IEEE Engineering in Medicine and Biology Society IEEE Engineering in Medicine and Biology Society Annual International Conference. 2018. p. 2567–70.
- Yan B, Cao X, Li HH. A neuromorphic design using chaotic mott memristor with relaxation oscillation. In: Proceedings - Design Automation Conference. 2018.
- Qiao X, Cao X, Yang H, Song L, Li H. AtomLayer: A universal ReRAM-based CNN accelerator with atomic layer computation. In: Proceedings - Design Automation Conference. 2018.
- Wang D, Ma L, Zhang M, An J, Li HH, Chen Y. Shift-Optimized Energy-Efficient Racetrack-Based Main Memory. Journal of Circuits, Systems and Computers. 2018 May 1;27(5).
- Yang Q, Li H, Wu Q. A Quantized Training Method to Enhance Accuracy of ReRAM-based Neuromorphic Systems. In: Proceedings - IEEE International Symposium on Circuits and Systems. 2018.
- Li B, Chen F, Kang W, Zhao W, Chen Y, Li H. Design and Data Management for Magnetic Racetrack Memory. In: Proceedings - IEEE International Symposium on Circuits and Systems. 2018.
- Jiang H, Yamada K, Ren Z, Kwok T, Luo F, Yang Q, et al. Pulse-Width Modulation based Dot-Product Engine for Neuromorphic Computing System using Memristor Crossbar Array. In: Proceedings - IEEE International Symposium on Circuits and Systems. 2018.
- Ji H, Song L, Jiang L, Li HH, Chen Y. Recom: An efficient resistive accelerator for compressed deep neural networks. In: Proceedings of the 2018 Design, Automation and Test in Europe Conference and Exhibition, DATE 2018. 2018. p. 237–40.
- Li B, Song L, Chen F, Qian X, Chen Y, Li H. ReRAM-based accelerator for deep learning. In: Proceedings of the 2018 Design, Automation and Test in Europe Conference and Exhibition, DATE 2018. 2018. p. 815–20.
- Yan B, Chen F, Zhang Y, Song C, Li H, Chen Y. Exploring the opportunity of implementing neuromorphic computing systems with spintronic devices. In: Proceedings of the 2018 Design, Automation and Test in Europe Conference and Exhibition, DATE 2018. 2018. p. 109–12.
- Zhang L, Song W, Yang JJ, Li H, Chen Y. A compact model for selectors based on metal doped electrolyte. Applied Physics A: Materials Science and Processing. 2018 Apr 1;124(4).
- Song L, Zhuo Y, Qian X, Li H, Chen Y. GraphR: Accelerating Graph Processing Using ReRAM. In: Proceedings - International Symposium on High-Performance Computer Architecture. 2018. p. 531–43.
- Wang P, Li S, Sun G, Wang X, Chen Y, Li H, et al. RC-NVM: Enabling Symmetric Row and Column Memory Accesses for In-memory Databases. In: Proceedings - International Symposium on High-Performance Computer Architecture. 2018. p. 518–30.
- Ablikim M, Achasov MN, Ahmed S, Albrecht M, Amoroso A, An FF, et al. Measurement of
ate + e − → π 0 π 0 ψ ( 3686 ) from 4.009 to 4.600 GeV and observation of a neutral charmoniumlike structure. Physical Review D. 2018 Mar 7;97(5).s - Ablikim M, Achasov MN, Ahmed S, Albayrak O, Albrecht M, Alekseev M, et al. Study of
andη ( 1475 ) in radiativeX ( 1835 ) decays toJ / ψ . Physical Review D. 2018 Mar 6;97(5).γ ϕ - Basu A, Acharya J, Karnik T, Liu H, Li H, Seo JS, et al. Low-Power, Adaptive Neuromorphic Systems: Recent Progress and Future Directions. IEEE Journal on Emerging and Selected Topics in Circuits and Systems. 2018 Mar 1;8(1):6–27.
- Chen Y, Li H, Wu C, Song C, Li S, Min C, et al. Neuromorphic computing's yesterday, today, and tomorrow – an evolutional view. Integration. 2018 Mar 1;61:49–61.
- Jia X, Yang J, Wang Z, Chen Y, Li HH, Zhao W. Spintronics based stochastic computing for efficient Bayesian inference system. In: Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC. 2018. p. 580–5.
- Eken E, Bayram I, Li HH, Chen Y. Modeling of biaxial magnetic tunneling junction for multi-level cell STT-RAM realization. In: Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC. 2018. p. 375–80.
- Chen F, Li Z, Kang W, Zhao W, Li H, Chen Y. Process variation aware data management for magnetic skyrmions racetrack memory. In: Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC. 2018. p. 221–6.
- Liu X, Wen W, Qian X, Li H, Chen Y. Neu-NoC: A high-efficient interconnection network for accelerated neuromorphic systems. In: Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC. 2018. p. 141–6.
- Li B, Wen W, Mao J, Li S, Chen Y, Li HH. Running sparse and low-precision neural network: When algorithm meets hardware. In: Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC. 2018. p. 534–9.
- Yan B, Liu C, Liu X, Chen Y, Li H. Understanding the trade-offs of device, circuit and application in ReRAM-based neuromorphic computing systems. In: Technical Digest - International Electron Devices Meeting, IEDM. 2018. p. 11.4.1-11.4.4.
- Liu C, Liu F, Li H. Beyond CMOS: Memristor and its application for next generation storage and computing. In: ECS Transactions. 2018. p. 115–25.
- Mohanty SP, Hüebner M, Xue CJ, Li X, Li H. Guest editorial circuit and system design automation for internet of things. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2018 Jan 1;37(1):3–6.
- Wen W, Xu C, Wu C, Wang Y, Chen Y, Li H. Coordinating Filters for Faster Deep Neural Networks. In: Proceedings of the IEEE International Conference on Computer Vision. 2017. p. 658–66.
- Broyde L, Nixon K, Chen X, Li H, Chen Y. MobiCore: An adaptive hybrid approach for power-efficient CPU management on Android devices. In: International System on Chip Conference. 2017. p. 221–6.
- Yan B, Yang J, Wu Q, Chen Y, Li H. A closed-loop design to enhance weight stability of memristor based neural network chips. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2017. p. 541–8.
- Mao J, Qin Z, Xu Z, Nixon KW, Chen X, Li H, et al. AdaLearner: An adaptive distributed mobile learning system for neural networks. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2017. p. 291–6.
- Mao J, Yang Z, Wen W, Wu C, Song L, Nixon KW, et al. MeDNN: A distributed mobile system with enhanced partition and deployment for large-scale DNNs. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2017. p. 751–6.
- Wu C, Wen W, Afzal T, Zhang Y, Chen Y, Li HH. A compact DNN: Approaching GoogLeNet-level accuracy of classification and domain adaptation. In: Proceedings - 30th IEEE Conference on Computer Vision and Pattern Recognition, CVPR 2017. 2017. p. 761–70.
- Song C, Liu B, Wen W, Li H, Chen Y. A quantization-aware regularized learning method in multilevel memristor-based neuromorphic computing system. In: NVMSA 2017 - 6th IEEE Non-Volatile Memory Systems and Applications Symposium. 2017.
- Liu C, Liu F, Li H. Brain-inspired computing accelerated by memristor technology. In: Proceedings of the 4th ACM International Conference on Nanoscale Computing and Communication, NanoCom 2017. 2017.
- Mao M, Wen W, Zhang Y, Chen Y, Li H. An Energy-Efficient GPGPU Register File Architecture Using Racetrack Memory. IEEE Transactions on Computers. 2017 Sep 1;66(9):1478–90.
- Hu M, Chen Y, Yang JJ, Wang Y, Li H. A Compact Memristor-Based Dynamic Synapse for Spiking Neural Networks. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2017 Aug 1;36(8):1353–66.
- Guo J, Wen W, Hu J, Wang D, Li H, Chen Y. FlexLevel NAND Flash Storage System Design to Reduce LDPC Latency. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2017 Jul 1;36(7):1167–80.
- Niu D, Xue R, Cai T, Li H, Effah K, Zhang H. The new large-scale RNNLM system based on distributed neuron. In: Proceedings - 2017 IEEE 31st International Parallel and Distributed Processing Symposium Workshops, IPDPSW 2017. 2017. p. 433–6.
- Li S, Wen W, Wang Y, Han S, Chen Y, Li HH. An FPGA design framework for CNN sparsification and acceleration. In: Proceedings - IEEE 25th Annual International Symposium on Field-Programmable Custom Computing Machines, FCCM 2017. 2017. p. 28.
- Hassan AM, Li HH, Chen Y. Hardware implementation of echo state networks using memristor double crossbar arrays. In: Proceedings of the International Joint Conference on Neural Networks. 2017. p. 2171–7.
- Liu C, Hu M, Strachan JP, Li HH. Rescuing Memristor-based Neuromorphic Design with High Defects. In: Proceedings - Design Automation Conference. 2017.
- Wang Y, Wen W, Liu B, Chiarulli D, Li HH. Group Scissor: Scaling Neuromorphic Computing Design to Large Neural Networks. In: Proceedings - Design Automation Conference. 2017.
- Bi X, Mao M, Wang D, Li H. Cross-layer optimization for multilevel cell STT-RAM caches. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 2017 Jun 1;25(6):1807–20.
- Eken E, Bayram I, Zhang Y, Yan B, Li H, Chen Y. Giant Spin-Hall assisted STT-RAM and logic design. Integration, the VLSI Journal. 2017 Jun 1;58:253–61.
- Chen Y, Li HH, Bayram I, Eken E. Recent Technology Advances of Emerging Memories. IEEE Design and Test. 2017 Jun 1;34(3):8–22.
- Li Z, Liu C, Li H, Chen Y. Neuromorphic Hardware Acceleration Enabled by Emerging Technologies. In: Emerging Technology and Architecture for Big-data Analytics. Springer; 2017.
- Hassan AM, Yang C, Liu C, Li H, Chen Y. Hybrid spiking-based multi-layered self-learning neuromorphic system based on memristor crossbar arrays. In: Proceedings of the 2017 Design, Automation and Test in Europe, DATE 2017. 2017. p. 776–81.
- Cheng HP, Wen W, Wu C, Li S, Li H, Chen Y. Understanding the design of IBM neurosynaptic system and its tradeoffs: A user perspective. In: Proceedings of the 2017 Design, Automation and Test in Europe, DATE 2017. 2017. p. 139–44.
- Song L, Qian X, Li H, Chen Y. PipeLayer: A Pipelined ReRAM-Based Accelerator for Deep Learning. In: Proceedings - International Symposium on High-Performance Computer Architecture. 2017. p. 541–52.
- Cline BT, Heinrich-Barna S, Wright PJ, Li H, Viswanath V, Hu S, et al. Welcome. In: Proceedings - International Symposium on Quality Electronic Design, ISQED. 2017.
- Mohanty SP, Li X, Li H, Cao Y. Guest Editorial Special Issue on Nanoelectronic Devices and Circuits for Next Generation Sensing and Information Processing. IEEE Transactions on Nanotechnology. 2017 May 1;16(3):383–6.
- Wang Y, Wen W, Song L, Li H. Classification accuracy improvement for neuromorphic computing systems with one-level precision synapses. In: Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC. 2017. p. 776–81.
- Liu C, Yang Q, Zhang C, Jiang H, Wu Q, Li H. A memristor-based neuromorphic engine with a current sensing scheme for artificial neural network applications. In: Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC. 2017. p. 647–52.
- Min C, Guo J, Li H, Chen Y. Extending the lifetime of object-based NAND flash device with STT-RAM/DRAM hybrid buffer. In: Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC. 2017. p. 764–9.
- Li HH, Ghosal P, Theocharides T. Message from the Technical Program Chairs. In: Proceedings - 2016 IEEE International Symposium on Nanoelectronic and Information Systems, iNIS 2016. 2017. p. xiii–xiv.
- Li H. Conventional and Neuromorphic Systems Leveraging Emerging Memory Technologies. In: 2017 INTERNATIONAL SYMPOSIUM ON VLSI DESIGN, AUTOMATION AND TEST (VLSI-DAT). IEEE; 2017.
- Chen YC, Wang Y, Zhang W, Chen Y, Li HH. In-place logic obfuscation for emerging nonvolatile FPGAs. In: Fundamentals of IP and SoC Security: Design, Verification, and Debug. 2017. p. 277–93.
- Wen W, Xu C, Yan F, Wu C, Wang Y, Chen Y, et al. TernGrad: Ternary gradients to reduce communication in distributed deep learning. In: Advances in Neural Information Processing Systems. 2017. p. 1510–20.
- Li HH, Chen Y, Liu C, Strachan JP, Davila N. Looking Ahead for Resistive Memory Technology: A broad perspective on ReRAM technology for future storage and computing. IEEE Consumer Electronics Magazine. 2017 Jan 1;6(1):94–103.
- Yang C, Li H, Chen Y. Nanoscale memory architectures for neuromorphic computing. In: Security Opportunities in Nano Devices and Emerging Technologies. 2017. p. 215–34.
- Li H, Chen Y. Nonvolatile memory design: Magnetic, resistive, and phase change. 2017.
- Yan B, Li Z, Chen Y, Li H. RAM and TCAM designs by using STT-MRAM. In: 2016 16th Non-Volatile Memory Technology Symposium, NVMTS 2016. 2016. p. 18–22.
- Wu C, Cheng H, Li S, Li HH, Chen Y. ApesNet: a pixel‐wise efficient segmentation network for embedded devices. IET Cyber-Physical Systems: Theory & Applications. 2016 Dec;1(1):78–85.
- Song C, Liu B, Liu C, Li H, Chen Y. Design techniques of eNVM-enabled neuromorphic computing systems. In: Proceedings of the 34th IEEE International Conference on Computer Design, ICCD 2016. 2016. p. 674–7.
- Liu C, Chen Y, Li H. Neural processor design enabled by memristor technology. In: 2016 IEEE International Conference on Rebooting Computing, ICRC 2016 - Conference Proceedings. 2016.
- Li S, Wang Y, Wen W, Chen Y, Li H. A data locality-aware design framework for reconfigurable sparse matrix-vector multiplication kernel. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2016.
- Yang C, Wu C, Li H, Chen Y, Barnell M, Wu Q. Security challenges in smart surveillance systems and the solutions based on emerging nano-devices. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2016.
- Yang C, Liu B, Li H, Chen Y, Wen W, Barnell M, et al. Security of neuromorphic computing: Thwarting learning attacks using memristor's obsolescence effect. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2016.
- Wu C, Cheng HP, Li S, Li HH, Chen Y. ApesNet: A pixel-wise efficient segmentation network. In: Proceedings of the 14th ACM/IEEE Symposium on Embedded Systems for Real-Time Multimedia, ESTIMedia 2016. 2016. p. 2–8.
- Li HH, Qiu Q, Wang Y. Guest Editorial: Design and Applications of Neuromorphic Computing System. IEEE Transactions on Multi-Scale Computing Systems. 2016 Oct 1;2(4):223–4.
- Cheng HP, Wen W, Song C, Liu B, Li H, Chen Y. Exploring the optimal learning technique for IBM TrueNorth platform to overcome quantization loss. In: Proceedings of the 2016 IEEE/ACM International Symposium on Nanoscale Architectures, NANOARCH 2016. 2016. p. 185–90.
- Li HH, Li X. Message from the general chairs. In: Proceedings of IEEE Computer Society Annual Symposium on VLSI, ISVLSI. 2016. p. xvii.
- Liu C, Yang Q, Yan B, Yang J, Du X, Zhu W, et al. A memristor crossbar based computing engine optimized for high speed and accuracy. In: Proceedings of IEEE Computer Society Annual Symposium on VLSI, ISVLSI. 2016. p. 110–5.
- Qiu Q, Li Z, Ahmed K, Liu W, Habib SF, Li H, et al. A Neuromorphic Architecture for Context Aware Text Image Recognition. Journal of Signal Processing Systems. 2016 Sep 1;84(3):355–69.
- Guo J, Min C, Cai T, Li H, Chen Y. ObjNandSim: Object-based NAND flash device simulator. In: 2016 5th Non-Volatile Memory Systems and Applications Symposium, NVMSA 2016. 2016.
- Li Z, Bi X, Li HH, Chen Y, Qin J, Guo P, et al. Design and Implementation of a 4Kb STT-MRAM with Innovative 200nm Nano-ring Shaped MTJ. In: Proceedings of the International Symposium on Low Power Electronics and Design. 2016. p. 4–9.
- Liu B, Yang C, Li H, Chen Y, Wu Q, Barnell M. Security of neuromorphic systems: Challenges and solutions. In: Proceedings - IEEE International Symposium on Circuits and Systems. 2016. p. 1326–9.
- Li S, Liu X, Mao M, Li HH, Chen Y, Li B, et al. Heterogeneous systems with reconfigurable neuromorphic computing accelerators. In: Proceedings - IEEE International Symposium on Circuits and Systems. 2016. p. 125–8.
- Chakraborty S, Joshi S, Xia Q, Li H, Chen Y, Jiang H, et al. Built-in selectors self-assembled into memristors. In: Proceedings - IEEE International Symposium on Circuits and Systems. 2016. p. 181–4.
- Yan B, Mahmoud AM, Yang JJ, Wu Q, Chen Y, Li HH. A neuromorphic ASIC design using one-selector-one-memristor crossbar. In: Proceedings - IEEE International Symposium on Circuits and Systems. 2016. p. 1390–3.
- Pyle SD, Li H, DeMara RF. Compact low-power instant store and restore D flip-flop using a selfcomplementing spintronic device. Electronics Letters. 2016 Jul 7;52(14):1238–40.
- Mao M, Wen W, Liu X, Hu J, Wang D, Chen Y, et al. TEMP: Thread batch enabled memory partitioning for GPU. In: Proceedings - Design Automation Conference. 2016.
- Wen W, Wu C, Wang Y, Nixon K, Wu Q, Barnell M, et al. A new learning method for inference accuracy, core occupation, and performance co-optimization on TrueNorth chip. In: Proceedings - Design Automation Conference. 2016.
- Eken E, Bayram I, Zhang Y, Yan B, Wu W, Li H, et al. Spin-hall assisted STT-RAM design and discussion. In: Proceedings of the 18th ACM/IEEE System Level Interconnect Prediction 2016 Workshop, SLIP 2016. 2016.
- Hu M, Wang Y, Wen W, Li H. Leveraging Stochastic Memristor Devices in Neuromorphic Hardware Systems. IEEE Journal on Emerging and Selected Topics in Circuits and Systems. 2016 Jun 1;6(2):235–46.
- Yang J, Sun Z, Wang X, Chen Y, Li H. Spintronic Memristor as Interface between DNA and Solid State Devices. IEEE Journal on Emerging and Selected Topics in Circuits and Systems. 2016 Jun 1;6(2):212–21.
- Cline B, Li HH, Qu G, Mukhopadhyay S, Viswanath V, Iranmanesh AA, et al. WELCOME to ISQED 2016. In: Proceedings - International Symposium on Quality Electronic Design, ISQED. 2016. p. 1.
- Yang C, Liu B, Wang Y, Chen Y, Li H, Zhang X, et al. The applications of NVM technology in hardware security. In: Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI. 2016. p. 311–6.
- Duan S, Dong Z, Hu X, Wang L, Li H. Small-world Hopfield neural networks with weight salience priority and memristor synapses for digit recognition. Neural Computing and Applications. 2016 May 1;27(4):837–44.
- Liu X, Mao M, Liu B, Li B, Wang Y, Jiang H, et al. Harmonica: A Framework of Heterogeneous Computing Systems with Memristor-Based Neuromorphic Computing Accelerators. IEEE Transactions on Circuits and Systems I: Regular Papers. 2016 May 1;63(5):617–28.
- Mao F, Chen YC, Zhang W, Li H, He B. Library-based placement and routing in FPGAs with support of partial reconfiguration. ACM Transactions on Design Automation of Electronic Systems. 2016 May 1;21(4).
- Wen W, Mao M, Li H, Chen Y, Pei Y, Ge N. A holistic tri-region MLC STT-RAM design with combined performance, energy, and reliability optimizations. In: Proceedings of the 2016 Design, Automation and Test in Europe Conference and Exhibition, DATE 2016. 2016. p. 1285–90.
- Wang X, Mao M, Eken E, Wen W, Li H, Chen Y. Sliding Basket: An adaptive ECC scheme for runtime write failure suppression of STT-RAM cache. In: Proceedings of the 2016 Design, Automation and Test in Europe Conference and Exhibition, DATE 2016. 2016. p. 762–7.
- Liu B, Liu X, Liu C, Wen W, Meng M, Li H, et al. Hardware acceleration for neuromorphic computing: An evolving view. In: 2015 15th Non-Volatile Memory Technology Symposium, NVMTS 2015. 2016.
- Sun Z, Bi X, Wu W, Yoo S, Li HH. Array Organization and Data Management Exploration in Racetrack Memory. IEEE Transactions on Computers. 2016 Apr 1;65(4):1041–54.
- Zhang X, Guangyu S, Zhang Y, Chen Y, Li H, Wen W, et al. A novel PUF based on cell error rate distribution of STT-RAM. In: Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC. 2016. p. 342–7.
- Yang J, Wang P, Zhang Y, Cheng Y, Zhao W, Chen Y, et al. Radiation-induced soft error analysis of STT-MRAM: A device to circuit approach. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2016 Mar 1;35(3):380–93.
- Li H, Bi X, Sun Z. The evolutionary spintronic technologies and their usage in high performance computing. In: International System on Chip Conference. 2016. p. 350–5.
- Guo J, Liu C, Poignet P. Effect of Non-passive Operator on Enhanced Wave-Based Teleoperator for Robotic-Assisted Surgery: First Case Study. In Springer International Publishing; 2016. p. 1–14.
- Li H-Q, Liu Q-W, Fang J-S, Wang Y-L. Synthesis and Characterization of 3-butyryloxy-16-(β-naphthylmethylene)-5α-androstane-17-one. In: PROCEEDINGS OF THE 2016 INTERNATIONAL CONFERENCE ON BIOMEDICAL AND BIOLOGICAL ENGINEERING. 2016. p. 97–101.
- Wen W, Wu C, Wang Y, Chen Y, Li H. Learning structured sparsity in deep neural networks. In: Advances in Neural Information Processing Systems. 2016. p. 2082–90.
- Li HH, Hu M, Liu B. Memristor modeling - static, statistical, and stochastic methodologies. In: Nano-CMOS and Post-CMOS Electronics: Devices and Modelling. 2016. p. 313–35.
- Cline B, Mukhopadhyay S, Wright PJ, Li H, Viswanath V, Wesling P, et al. Welcome. In: ISQED. IEEE; 2016.
- Liang H, Chen YC, Luo T, Zhang W, Li H, He B. Hierarchical Library Based Power Estimator for Versatile FPGAs. In: Proceedings - IEEE 9th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2015. 2015. p. 25–32.
- Li Z, Liu C, Wang Y, Yan B, Yang C, Yang J, et al. An overview on memristor crossabr based neuromorphic circuit and architecture. In: IEEE/IFIP International Conference on VLSI and System-on-Chip, VLSI-SoC. 2015. p. 52–6.
- Liang H, Zhang W, Sinha S, Chen YC, Li H. Hierarchical library based power estimator for versatile FPGAs. In: 25th International Conference on Field Programmable Logic and Applications, FPL 2015. 2015.
- Li HH, Liu C, Yan B, Yang C, Song L, Li Z, et al. Spiking-based matrix computation by leveraging memristor crossbar array. In: 2015 IEEE Symposium on Computational Intelligence for Security and Defense Applications, CISDA 2015 - Proceedings. 2015. p. 43–6.
- Li H, Liu B, Liu X, Mao M, Chen Y, Wu Q, et al. The applications of memristor devices in next-generation cortical processor designs. In: Proceedings - IEEE International Symposium on Circuits and Systems. 2015. p. 17–20.
- Li Z, Yan B, Yang L, Zhao W, Chen Y, Li H. A new self-reference sensing scheme for TLC MRAM. In: Proceedings - IEEE International Symposium on Circuits and Systems. 2015. p. 593–6.
- Mao M, Hu J, Chen Y, Li H. VWS: A versatile warp scheduler for exploring diverse cache localities of GPGPU applications. In: Proceedings - Design Automation Conference. 2015.
- Liu B, Li H, Chen Y, Li X, Wu Q, Huang T. Vortex: Variation-aware training for memristor X-bar. In: Proceedings - Design Automation Conference. 2015.
- Liu X, Mao M, Liu B, Li H, Chen Y, Li B, et al. RENO: A high-efficient reconfigurable neuromorphic computing accelerator design. In: Proceedings - Design Automation Conference. 2015.
- Guo J, Wen W, Hu J, Wang D, Li H, Chen Y. FlexLevel: A novel NAND flash storage system design for LDPC latency reduction. In: Proceedings - Design Automation Conference. 2015.
- Liu C, Yan B, Yang C, Song L, Li Z, Liu B, et al. A spiking neuromorphic design with resistive crossbar. In: Proceedings - Design Automation Conference. 2015.
- Liu B, Wu C, Li H, Chen Y, Wu Q, Barnell M, et al. Cloning your mind: Security challenges in cognitive system designs and their solutions. In: Proceedings - Design Automation Conference. 2015.
- Li S, Wu C, Li H, Li B, Wang Y, Qiu Q. FPGA acceleration of recurrent neural network based language model. In: Proceedings - 2015 IEEE 23rd Annual International Symposium on Field-Programmable Custom Computing Machines, FCCM 2015. 2015. p. 111–8.
- Eken E, Zhang Y, Yan B, Wu W, Li H, Chen Y. Spin-hall assisted STT-RAM design and discussion. In: 2015 IEEE International Magnetics Conference, INTERMAG 2015. 2015.
- Zhang Y, Li Y, Sun Z, Li H, Chen Y, Jones AK. Read performance: The newest barrier in scaled stt-ram. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 2015 Jun 1;23(6):1170–4.
- Wang Y, Wen W, Hu M, Li H. A novel true random number generator design leveraging emerging memristor technology. In: Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI. 2015. p. 271–6.
- Yan B, Li Z, Zhang Y, Yang J, Zhao W, Chia PCF, et al. A high-speed robust NVM-TCAM design using body bias feedback. In: Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI. 2015. p. 69–74.
- Wang Y, Tang T, Xia L, Li B, Gu P, Li H, et al. Energy efficient RRAM spiking neural network for real time classification. In: Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI. 2015. p. 189–94.
- Zhang Y, Yan B, Wu W, Li H, Chen Y. Giant spin hall effect (GSHE) logic design for low power application. In: Proceedings -Design, Automation and Test in Europe, DATE. 2015. p. 1000–5.
- Wright PJ, Raychowdhury A, Liu B, Mukhopadhyay S, Li HH, Iranmanesh AA, et al. Welcome to ISQED 2015. In: Proceedings - International Symposium on Quality Electronic Design, ISQED. 2015. p. iii.
- Zhang C, Sun G, Zhang W, Mi F, Li H, Zhao W. Quantitative modeling of racetrack memory, a tradeoff among area, performance, and power. In: 20th Asia and South Pacific Design Automation Conference, ASP-DAC 2015. 2015. p. 100–5.
- Liu X, Mao M, Bi X, Li H, Chen Y. An efficient STT-RAM-based register file in GPU architectures. In: 20th Asia and South Pacific Design Automation Conference, ASP-DAC 2015. 2015. p. 490–5.
- Li H, Liu X, Mao M, Chen Y, Wu Q, Barnell M. Neuromorphic hardware acceleration enabled by emerging technologies (Invited paper). In: Proceedings of the 14th International Symposium on Integrated Circuits, ISIC 2014. 2015. p. 124–7.
- Tang T, Luo R, Li B, Li H, Wang Y, Yang H. Energy efficient spiking neural network design with RRAM devices. In: Proceedings of the 14th International Symposium on Integrated Circuits, ISIC 2014. 2015. p. 268–71.
- Liu B, Li H, Chen Y, Li X, Huang T, Wu Q, et al. Reduction and IR-drop compensations techniques for reliable neuromorphic computing systems. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2015. p. 63–70.
- Wang Y-Y, He L-B, Zhu H-J, Yang P. CONSISTENCY OF SURFACE PULSE AND RECIPROCITY CALIBRATION OF PIEZOELECTRIC AE SENSORS. In: Tao X, Zhao X, Wang C, Yu F, editors. PROCEEDINGS OF THE 2015 SYMPOSIUM ON PIEZOELECTRICITY, ACOUSTIC WAVES AND DEVICE APPLICATIONS. IEEE; 2015. p. 189–92.
- Qiu Q, Li Z, Ahmed K, Li HH, Hu M. Neuromorphic acceleration for context aware text image recognition. In: IEEE Workshop on Signal Processing Systems, SiPS: Design and Implementation. 2014.
- Wang J, Roy P, Wong WF, Bi X, Li H. Optimizing MLC-based STT-RAM caches by dynamic block size reconfiguration. In: 2014 32nd IEEE International Conference on Computer Design, ICCD 2014. 2014. p. 133–8.
- Li H, Hu M, Liu X, Mao M, Li C, Duan S. Emerging memristor technology enabled next generation cortical processor. In: International System on Chip Conference. 2014. p. 377–82.
- Eken E, Zhang Y, Wen W, Joshi R, Li H, Chen Y. A novel self-reference technique for STT-RAM read and write reliability enhancement. IEEE Transactions on Magnetics. 2014 Nov 1;50(11).
- Hu M, Li H, Chen Y, Wu Q, Rose GS, Linderman RW. Memristor crossbar-based neuromorphic computing system: a case study. IEEE transactions on neural networks and learning systems. 2014 Oct;25(10):1864–78.
- Li H, Hu M, Li C, Duan S. Memristor modeling - Static, statistical, and stochastic methodologies. In: Proceedings of IEEE Computer Society Annual Symposium on VLSI, ISVLSI. 2014. p. 406–11.
- Liu C, Li H. A weighted sensing scheme for ReRAM-based cross-point memory array. In: Proceedings of IEEE Computer Society Annual Symposium on VLSI, ISVLSI. 2014. p. 65–70.
- Hu X, Feng G, Li H, Chen Y, Duan S. An adjustable memristor model and its application in small-world neural networks. In: Proceedings of the International Joint Conference on Neural Networks. 2014. p. 7–14.
- Chen L, Li C, Huang T, He X, Li H, Chen Y. STDP learning rule based on memristor with STDP property. In: Proceedings of the International Joint Conference on Neural Networks. 2014. p. 1–6.
- Zhang Y, Wen W, Li H, Chen Y. The Prospect of STT-RAM Scaling. In: Metallic Spintronic Devices. CRC Press; 2014.
- Chen Y, Li H, Sun Z. Spintronic memristor as interface between DNA and solid state devices. In: Memristors and Memristive Systems. 2014. p. 281–98.
- Chen Y, Liu P, Yu ZW. Research on the Sol-Gel Method of Preparing Ternary Nano SiO<sub>2</sub>-Al<sub>2</sub>O<sub>3</sub>-TiO<sub>2</sub> Materials. In: Key Engineering Materials. Trans Tech Publications, Ltd.; 2014. p. 281–7.
- Wang J, Tim Y, Wong WF, Ong ZL, Sun Z, Li HH. A coherent hybrid SRAM and STT-RAM L1 cache architecture for shared memory multicores. In: Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC. 2014. p. 610–5.
- Hu M, Wang Y, Qiu Q, Chen Y, Li H. The stochastic modeling of TiO
2 memristor and its usage in neuromorphic system design. In: Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC. 2014. p. 831–6. - Liu X, Mao M, Li H, Chen Y, Jiang H, Yang JJ, et al. A heterogeneous computing system with memristor-based neuromorphic accelerators. In: 2014 IEEE High Performance Extreme Computing Conference, HPEC 2014. 2014.
- Sun Z, Bi X, Li H, Wong WF, Zhu X. STT-RAM cache hierarchy with multiretention MTJ designs. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 2014 Jan 1;22(6):1281–93.
- Cavallaro JR, Zhang T, Jones AK, Li H. GLSVLSI'14 chairs' welcome. In: Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI. 2014.
- Wu Q, Liu B, Chen Y, Li H, Chen Q, Qiu Q. Bio-inspired computing with resistive memories - Models, architectures and applications. In: Proceedings - IEEE International Symposium on Circuits and Systems. 2014. p. 834–7.
- Park E, Yoo S, Lee S, Li H. Accelerating graph computation with racetrack memory and pointer-assisted graph representation. In: Proceedings -Design, Automation and Test in Europe, DATE. 2014.
- Eken E, Zhang Y, Wen W, Joshi R, Li H, Chen Y. A new field-assisted access scheme of STT-RAM with self-reference capability. In: Proceedings - Design Automation Conference. 2014.
- Sun Z, Bi X, Jones AK, Li H. Design exploration of racetrack lower-level caches. In: Proceedings of the International Symposium on Low Power Electronics and Design. 2014. p. 263–6.
- Mao M, Wen W, Zhang Y, Chen Y, Li H. Exploration of GPGPU register file architecture using domain-wall-shift- write based racetrack memory. In: Proceedings - Design Automation Conference. 2014.
- Wang J, Roy P, Wong W-F, Bi X, Li HH. Optimizing MLC-based STT-RAM Caches by Dynamic Block Size Reconfiguration. In: 2014 32ND IEEE INTERNATIONAL CONFERENCE ON COMPUTER DESIGN (ICCD). IEEE; 2014. p. 126–31.
- Li B, Wang Y, Chen Y, Li HH, Yang H. ICE: Inline calibration for memristor crossbar-based computing engine. In: Proceedings -Design, Automation and Test in Europe, DATE. 2014.
- Dong Z, Duan S, Hu X, Wang L, Li H. A novel memristive multilayer feedforward small-world neural network with its applications in PID control. TheScientificWorldJournal. 2014 Jan;2014:394828.
- Li H, Sun Z, Bi X, Wong WF, Zhu X, Wu W. STT-RAM cache hierarchy design and exploration with emerging magnetic devices. In: Emerging Memory Technologies: Design, Architecture, and Applications. 2014. p. 169–99.
- Wang J, Tim Y, Wong WF, Li HH. A practical low-power memristor-based analog neural branch predictor. In: Proceedings of the International Symposium on Low Power Electronics and Design. 2013. p. 175–80.
- Bi X, Mao M, Wang D, Li H. Unleashing the potential of MLC STT-RAM caches. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2013. p. 429–36.
- Ji F, Li HH, Wysocki B, Thiem C, McDonald N. Memristor-based synapse design and a case study in reconfigurable systems. In: Proceedings of the International Joint Conference on Neural Networks. 2013.
- Zhang Y, Bayram I, Wang Y, Li H, Chen Y. ADAMS: Asymmetric differential STT-RAM cell structure for reliable and high-performance applications. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2013. p. 9–16.
- Chen Q, Qiu Q, Li H, Wu Q. A neuromorphic architecture for anomaly detection in autonomous large-area traffic monitoring. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2013. p. 202–5.
- Li Y, Zhang Y, Li H, Chen Y, Jones AK. C1C: A configurable, compiler-guided STT-RAM L1 cache. Transactions on Architecture and Code Optimization. 2013 Dec 1;10(4).
- Chen Z, Zhang L, Bi X, Li H. A pseudo-weighted sensing scheme for memristor based cross-point memory. In: Proceedings of the 2013 IEEE/ACM International Symposium on Nanoscale Architectures, NANOARCH 2013. 2013. p. 38–9.
- Chen Y, Wong WF, Li H, Koh CK, Zhang Y, Wen W. On-chip caches built on multilevel spin-transfer torque RAM cells and its optimizations. ACM Journal on Emerging Technologies in Computing Systems. 2013 Oct 21;9(2).
- Hu M, Li H, Chen Y, Wu Q, Rose GS. BSB training scheme implementation on memristor-based circuit. In: Proceedings of the 2013 IEEE Symposium on Computational Intelligence for Security and Defense Applications, CISDA 2013 - 2013 IEEE Symposium Series on Computational Intelligence, SSCI 2013. 2013. p. 80–7.
- Zhao B, Yang J, Zhang Y, Chen Y, Li H. Common-source-line array: An area efficient memory architecture for bipolar nonvolatile devices. ACM Transactions on Design Automation of Electronic Systems. 2013 Oct 1;18(4).
- Sun Z, Wu W, Li H. Cross-layer racetrack memory design for ultra high density and low power consumption. In: Proceedings - Design Automation Conference. 2013.
- Mao M, Li H, Jones AK, Chen Y. Coordinating prefetching and STT-RAM based last-level cache management for multicore systems. In: Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI. 2013. p. 55–60.
- Chen YC, Zhang W, Li HH. A hardware security scheme for RRAM-based FPGA. In: 2013 23rd International Conference on Field Programmable Logic and Applications, FPL 2013 - Proceedings. 2013.
- Bi X, Weldon MA, Li H. STT-RAM designs supporting dual-port accesses. In: Proceedings -Design, Automation and Test in Europe, DATE. 2013. p. 853–8.
- Guo J, Wen W, Li YZ, Li S, Li H, Chen Y. DA-RAID-5: A disturb aware data protection technique for NAND flash storage systems. In: Proceedings -Design, Automation and Test in Europe, DATE. 2013. p. 380–5.
- Liu B, Hu M, Li H, Mao ZH, Chen Y, Huang T, et al. Digital-assisted noise-eliminating training for memristor crossbar-based analog neuromorphic computing engine. In: Proceedings - Design Automation Conference. 2013.
- Li H-J, Xie Z-G, Hu W. An Image Compression Method using Sparse Representation and Grey Relation. In: PROCEEDINGS OF 2013 IEEE INTERNATIONAL CONFERENCE ON GREY SYSTEMS AND INTELLIGENT SERVICES (GSIS). 2013. p. 53–6.
- Li Y, Zhang Y, Li H, Chen Y, Jones AK. C1C: A Configurable, Compiler-Guided STT-RAM L1 Cache. ACM Transactions on Architecture and Code Optimization. 2013 Jan 1;10(4):1–22.
- Chen YC, Wang W, Li H, Zhang W. Non-volatile 3D stacking RRAM-based FPGA. In: Proceedings - 22nd International Conference on Field Programmable Logic and Applications, FPL 2012. 2012. p. 367–72.
- Li H, Sun Z, Bi X, Wysocki B. Spintronic devices: From memory to memristor. In: ICSICT 2012 - 2012 IEEE 11th International Conference on Solid-State and Integrated Circuit Technology, Proceedings. 2012.
- Chen YC, Wang W, Zhang W, Li H. uBRAM-based run-time reconfigurable FPGA and corresponding reconfiguration methodology. In: FPT 2012 - 2012 International Conference on Field-Programmable Technology. 2012. p. 80–6.
- Bi X, Li H, Wang X. STT-RAM cell design considering CMOS and MTJ temperature dependence. IEEE Transactions on Magnetics. 2012 Oct 29;48(11):3821–4.
- Bi X, Li H, Kim JJ. Analysis and optimization of thermal effect on STT-RAM based 3-D stacked cache design. In: Proceedings - 2012 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2012. 2012. p. 374–9.
- Chen YC, Li H, Zhang W. A novel peripheral circuit for RRAM-based LUT. In: ISCAS 2012 - 2012 IEEE International Symposium on Circuits and Systems. 2012. p. 1811–4.
- Chen YC, Li HH, Zhang W, Pino RE. The 3-D stacking bipolar RRAM for high density. IEEE Transactions on Nanotechnology. 2012 Sep 17;11(5):948–56.
- Sun Z, Li H, Wu W. A dual-mode architecture for fast-switching STT-RAM. In: Proceedings of the International Symposium on Low Power Electronics and Design. 2012. p. 45–50.
- Sun Z, Bi X, Li H. Process variation aware data management for STT-RAM cache design. In: Proceedings of the International Symposium on Low Power Electronics and Design. 2012. p. 179–84.
- Li HH, Sun Z. Voltage driven nondestructive self-reference sensing for STT-Ram yield enhancement. SPIN. 2012 Sep 1;2(3).
- Hu M, Li H, Wu Q, Rose GS, Chen Y. Memristor crossbar based hardware realization of BSB recall function. In: Proceedings of the International Joint Conference on Neural Networks. 2012.
- Wang H, Li H, Pino RE. Memristor-based synapse design and training scheme for neuromorphic computing architecture. In: Proceedings of the International Joint Conference on Neural Networks. 2012.
- Hu M, Li H, Wu Q, Rose GS. Hardware realization of BSB recall function using memristor crossbar arrays. In: Proceedings - Design Automation Conference. 2012. p. 498–503.
- Pino RE, Li H, Chen Y, Hu M, Liu B. Statistical memristor modeling and case study in neuromorphic computing. In: Proceedings - Design Automation Conference. 2012. p. 585–90.
- Li H, Hu M, Pino R. Statistical Memristor Model and Its Applications in Neuromorphic Computing. In: Kozma R, Pino R, Pazienza G, editors. Advances in Neuromorphic Memristor Science and Applications. Springer Science & Business Media; 2012.
- Sun Z, Chen X, Zhang Y, Li H, Chen Y. Nonvolatile memories as the data storage system for implantable ecg recorder. ACM Journal on Emerging Technologies in Computing Systems. 2012 Jun 1;8(2).
- Zhao B, Yang J, Zhang Y, Chen Y, Li H. Architecting a common-source-line array for bipolar non-volatile memory devices. In: Proceedings -Design, Automation and Test in Europe, DATE. 2012. p. 1451–4.
- Bi X, Zhang C, Li H, Chen Y, Pino RE. Spintronic memristor based temperature sensor design with CMOS current reference. In: Proceedings -Design, Automation and Test in Europe, DATE. 2012. p. 1301–6.
- Chen YC, Zhang W, Li H. A look up table design with 3D bipolar RRAMs. In: Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC. 2012. p. 73–8.
- Chen X, Zeng J, Chen Y, Zhang W, Li H. Fine-grained dynamic voltage scaling on OLED display. In: Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC. 2012. p. 807–12.
- Sun Z, Li H, Wang X. Magnetic tunnel junction design margin exploration for self-reference sensing scheme. Journal of applied physics. 2012 Apr;111(7):7C726–7263.
- Chen Y, Li H, Wang X, Zhu W, Xu W, Zhang T. A 130 nm 1.2 V/3.3 v 16 Kb spin-transfer torque random access memory with nondestructive self-reference sensing scheme. IEEE Journal of Solid-State Circuits. 2012 Feb 1;47(2):560–73.
- Bi X, Sun Z, Li H, Wu W. Probabilistic design methodology to improve run-time stability and performance of STT-RAM caches. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2012. p. 88–94.
- Sun Z, Li H, Chen Y, Wang X. Voltage driven nondestructive self-reference sensing scheme of spin-transfer torque memory. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 2012 Jan 1;20(11):2020–30.
- Chen Y, Li H, Xie Y, Niu D. Low Power Design of Emerging Memory Technologies. In: Handbook of Energy-aware and Green Computing. CRC Press; 2012.
- Wang H, Megill A, He K, Kirkwood A, Lee H-K. Consequences of inhibiting amyloid precursor protein processing enzymes on synaptic function and plasticity. Neural plasticity. 2012 Jan;2012:272374.
- Joshi R, Kanj R, Wang P, Li HH. Universal statistical cure for predicting memory loss. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2011. p. 236–9.
- Hu M, Li H, Pino RE. Fast statistical model of TiO
2 thin-film memristor and design implication. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2011. p. 345–52. - Sun Z, Bi X, Li H, Wong WF, Ong ZL, Zhu X, et al. Multi retention level STT-RAM cache designs with a dynamic refresh scheme. In: Proceedings of the Annual International Symposium on Microarchitecture, MICRO. 2011. p. 329–38.
- Xue CJ, Zhang Y, Chen Y, Sun G, Yang JJ, Li H. Emerging non-volatile memories: Opportunities and challenges. In: Embedded Systems Week 2011, ESWEEK 2011 - Proceedings of the 9th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS’11. 2011. p. 325–34.
- Wang P, Chen X, Chen Y, Li H, Kang S, Zhu X, et al. A 1.0V 45nm nonvolatile magnetic latch design and its robustness analysis. In: Proceedings of the Custom Integrated Circuits Conference. 2011.
- Chen Y, Wong WF, Li H, Koh CK. Processor caches built using multi-level spin-transfer torque RAM cells. In: Proceedings of the International Symposium on Low Power Electronics and Design. 2011. p. 73–8.
- Chen YC, Li H, Zhang W, Pino RE. 3D-HIM: A 3D High-density interleaved memory for bipolar RRAM design. In: Proceedings of the 2011 IEEE/ACM International Symposium on Nanoscale Architectures, NANOARCH 2011. 2011. p. 59–64.
- Chen YC, Li H, Chen Y, Pino RE. 3D-ICML: A 3D bipolar ReRAM design with interleaved complementary memory layers. In: Proceedings -Design, Automation and Test in Europe, DATE. 2011. p. 583–6.
- Dong X, Wu X, Xie Y, Chen Y, Li H. Stacking magnetic random access memory atop microprocessors: An architecture-level evaluation. IET Computers and Digital Techniques. 2011 May 1;5(3):213–20.
- Chen Y, Li H. Emerging sensing techniques for emerging memories. In: Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC. 2011. p. 204–10.
- Hu M, Li H, Chen Y, Wang X, Pino RE. Geometry variations analysis of TiO
2 thin-film and spintronic memristors. In: Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC. 2011. p. 25–30. - Li H, Wang X, Ong ZL, Wong WF, Zhang Y, Wang P, et al. Performance, power, and reliability tradeoffs of STT-RAM cell subject to architecture-level requirement. IEEE Transactions on Magnetics. 2011 Jan 1;47(10):2356–9.
- Zhang Y, Wang X, Li H, Chen Y. STT-RAM cell optimization considering MTJ and CMOS variations. IEEE Transactions on Magnetics. 2011 Jan 1;47(10):2962–5.
- Hu M, Li HH, Chen Y, Wang X. Spintronic memristor: Compact model and statistical analysis. Journal of Low Power Electronics. 2011 Jan 1;7(2):234–44.
- Zhu W, Li H, Chen Y, Wang X. Current switching in MgO-based magnetic tunneling junctions. IEEE Transactions on Magnetics. 2011 Jan 1;47(1 PART 2):156–60.
- Wang P, Wang X, Zhang Y, Li H, Levitan SP, Chen Y. Nonpersistent errors optimization in spin-MOS logic and storage circuitry. IEEE Transactions on Magnetics. 2011 Jan 1;47(10):3860–3.
- Joshi R, Kanj R, Wang P, Li HH. Universal Statistical Cure For Predicting Memory Loss (Invited Paper). In: 2011 IEEE/ACM INTERNATIONAL CONFERENCE ON COMPUTER-AIDED DESIGN (ICCAD). IEEE; 2011. p. 236–9.
- Chen Y, Wang X, Li H, Xi H, Yan Y, Zhu W. Design margin exploration of spin-transfer torque RAM (STT-RAM) in scaled technologies. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 2010 Dec 1;18(12):1724–34.
- Chen Y, Li H, Wang X, Park J. Applications of TMR devices in solid state circuits and systems. In: 2010 International SoC Design Conference, ISOCC 2010. 2010. p. 252–5.
- Chen Y, Li H, Wang X. Spintronic devices: From memory to memristor. In: 2010 International Conference on Communications, Circuits and Systems, ICCCAS 2010 - Proceedings. 2010. p. 811–6.
- Chen Y, Li H, Wang X, Zhu W, Xu W, Zhang T. Combined magnetic-and circuit-level enhancements for the nondestructive self-reference scheme of STT-RAM. In: Proceedings of the International Symposium on Low Power Electronics and Design. 2010. p. 1–6.
- Li H, Chen Y. Emerging non-volatile memory technologies: From materials, to device, circuit, and architecture. In: Midwest Symposium on Circuits and Systems. 2010. p. 1–4.
- Chen Y, Wang X, Zhu W, Li H, Sun Z, Sun G, et al. Access scheme of multi-level cell spin-transfer torque random access memory and its optimization. In: Midwest Symposium on Circuits and Systems. 2010. p. 1109–12.
- Chen Y, Wang X, Sun Z, Li H. The application of spintronic devices in magnetic bio-sensing. In: Proceedings of the 2nd Asia Symposium on Quality Electronic Design, ASQED 2010. 2010. p. 230–4.
- Chen Y, Tian W, Li H, Wang X, Zhu W. PCMO device with high switching stability. IEEE Electron Device Letters. 2010 Aug 1;31(8):866–8.
- Chen Y, Li H. Patents relevant to cross-point memory array. Recent Patents on Electrical Engineering. 2010 Jun 25;3(2):114–24.
- Li H, Hu M. Compact model of memristors and its application in computing systems. In: Proceedings -Design, Automation and Test in Europe, DATE. 2010. p. 673–8.
- Chen Y, Li H, Wang X, Zhu W, Xu W, Zhang T. A nondestructive self-reference scheme for spin-transfer torque random access memory (STT-RAM). In: Proceedings -Design, Automation and Test in Europe, DATE. 2010. p. 148–53.
- Chen Y, Tian W, Li H, Wang X, Zhu W. Scalability of PCMO-based resistive switch device in DSM technologies. In: Proceedings of the 11th International Symposium on Quality Electronic Design, ISQED 2010. 2010. p. 327–32.
- Xi H, Stricklin J, Li H, Chen Y, Wang X, Zheng Y, et al. Spin transfer torque memory with thermal assist mechanism: A case study. IEEE Transactions on Magnetics. 2010 Mar 1;46(3 PART 2):860–5.
- Sun G, Joo Y, Chen Y, Niu D, Xie Y, Li H. A hybrid solid-state storage architecture for the performance, energy consumption, and lifetime improvement. In: Proceedings - International Symposium on High-Performance Computer Architecture. 2010.
- Sun Z, Li H, Chen Y, Wang X. Variation tolerant sensing scheme of spin-transfer torque memory for yield improvement. In: IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2010. p. 432–7.
- Wang X, Chen Y, Gu Y, Li H. Spintronic memristor temperature sensor. IEEE Electron Device Letters. 2010 Jan 1;31(1):20–2.
- Chen Y, Li H, Koh CK, Li J, Roy K, Sun G, et al. Variable-Latency Adder (VL-Adder) Designs for Low Power and NBTI Tolerance. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 2010 Jan 1;18(11):1621–4.
- Koh CK, Wong WF, Chen Y, Li H. The salvage cache: A fault-tolerant cache architecture for next-generation memory technologies. In: Proceedings - IEEE International Conference on Computer Design: VLSI in Computers and Processors. 2009. p. 268–74.
- Chen Y, Li H, Roy K, Koh CK. Gated decap: Gate leakage control of on-chip decoupling capacitors in scaled technologies. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 2009 Dec 1;17(12):1749–52.
- Hai L, Yiran C. An overview of non-volatile memory technology and the implication for tools and architectures. In: Proceedings -Design, Automation and Test in Europe, DATE. 2009. p. 731–6.
- Li H, Xi H, Chen Y, Stricklin J, Wang X, Zhang T. Thermal-assisted spin transfer torque memory (STT-RAM) cell design exploration. In: Proceedings of the 2009 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2009. 2009. p. 217–22.
- Koh CK, Wong WF, Chen Y, Li H. Tolerating process variations in large, set-associative caches: The buddy cache. Transactions on Architecture and Code Optimization. 2009 Jun 1;6(2).
- Wang X, Chen Y, Xi H, Li H, Dimitrov D. Spintronic memristor through spin-thorque-induced magnetization motion. IEEE Electron Device Letters. 2009 Feb 12;30(3):294–7.
- Dong X, Wu X, Sun G, Xie Y, Li H, Chen Y. Circuit and microarchitecture evaluation of 3D stacking magnetic RAM (MRAM) as a universal memory replacement. In: Proceedings - Design Automation Conference. 2008. p. 554–9.
- Chen Y, Wang X, Li H, Liu H, Dimitrov DV. Design margin exploration of Spin-Torque Transfer RAM (SPRAM). In: Proceedings of the 9th International Symposium on Quality Electronic Design, ISQED 2008. 2008. p. 684–90.
- Li H, Chen Y, Jamshidi S. Design for Low Power. In: The Computer Engineering Handbook, Second Edition - 2 Volume Set. CRC Press; 2008.
- Chen Y, Wang X, Li H, Liu H, Dimitrov DV. Design margin exploration of spin-torque transfer RAM (SPRAM). In: ISQED 2008: PROCEEDINGS OF THE NINTH INTERNATIONAL SYMPOSIUM ON QUALITY ELECTRONIC DESIGN. IEEE COMPUTER SOC; 2008. p. 684–90.
- Wang X, Chen Y, Li H, Dimitrov D, Liu H. Spin torque random access memory down to 22 nm technology. IEEE Transactions on Magnetics. 2008 Jan 1;44(11 PART 2):2479–82.
- Chen Y, Li H, Li J, Koh CK. Variable-latency adder (VL-adder): New arithmetic circuit design practice to overcome NBTI. In: Proceedings of the International Symposium on Low Power Electronics and Design. 2007. p. 195–200.
- Wong WF, Kon CK, Chen Y, Li H. VOSCH: Voltage scaled cache hierarchies. In: 2007 IEEE International Conference on Computer Design, ICCD 2007. 2007. p. 496–503.
- Li H, Chen Y, Roy K, Koh CK. SAVS: A self-adaptive variable supply-voltage technique for process- Tolerant and power-efficient multi-issue superscalar processor design. In: Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC. 2006. p. 158–63.
- Chen Y, Li H, Roy K, Koh CK. Cascaded carry-select adder (C2 SA): A new structure for low-power CSA design. In: Proceedings of the International Symposium on Low Power Electronics and Design. 2005. p. 115–8.
- Li H, Cher CY, Roy K, Vijaykumar TN. Combined circuit and architectural level variable supply-voltage scaling for low power. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 2005 May 1;13(5):564–75.
- Chen Y, Li H, Roy K, Koh CK. Gated Decap: Gate leakage control of on-chip decoupling capacitors in scaled technologies. In: Proceedings of the Custom Integrated Circuits Conference. 2005. p. 775–8.
- Chen YR, Li H, Roy K, Koh CK. Gated decap: Gate leakage control of on-chip decoupling capacitors in scaled technologies. In: CICC: PROCEEDINGS OF THE IEEE 2005 CUSTOM INTEGRATED CIRCUITS CONFERENCE. IEEE; 2005. p. 775–8.
- Li H, Bhunia S, Chen Y, Roy K, Vijaykumar TN. DCG: Deterministic Clock-Gating for Low-Power Microprocessor Design. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 2004 Mar 1;12(3):245–54.
- Agarwal A, Li H, Roy K. A single-V
t low-leakage gated-ground cache for deep submicron. IEEE Journal of Solid-State Circuits. 2003 Feb 1;38(2):319–28. - Li H, Cher CY, Vijaykumar TN, Roy K. VSV: L2-miss-driven variable supply-voltage scaling for low power. In: Proceedings of the Annual International Symposium on Microarchitecture, MICRO. 2003. p. 19–28.
- Li H, Bhunia S, Chen Y, Vijaykumar TN, Roy K. Deterministic clock gating for microprocessor power reduction. In: Proceedings - International Symposium on High-Performance Computer Architecture. 2003. p. 113–22.
- Bhunia S, Li H, Roy K. A high performance IDDQ testable cache for scaled CMOS technologies. In: Proceedings of the Asian Test Symposium. 2002. p. 157–62.
- Agarwal A, Li H, Roy K. DRG-Cache: A data retention gated-ground cache for low power. In: Proceedings - Design Automation Conference. 2002. p. 473–8.
In The News
- Duke Leads Regional Effort to Reinvigorate America’s Semiconductor Infrastructure (Mar 9, 2023 | Pratt School of Engineering)
- Meet Duke’s 2023 Fellows in the ACC Academic Leadership Network (Jan 17, 2023 | Office of Faculty Advancement)
- Co-Designing Tomorrow's Computers (Sep 27, 2021 | Duke Engineering News)
- Training Enormous AI Models in Health Care While Protecting Data Privacy (Sep 7, 2021 | Duke Engineering News)
- Bringing Radar Down From the Clouds to the City Streets (May 11, 2021 | Duke Engineering News)
- On Security's Frontiers: Trustworthy Computing (Oct 12, 2020 | Duke Engineering News)
- Duke-Led Team to Develop Privacy-Minded AI Health Learning Platform: NSF Convergence Accelerator Award (Sep 17, 2020 | Duke Engineering News)
- Detecting Backdoor Attacks on Artificial Neural Networks (Dec 23, 2019 | Duke Engineering News)
- Li Elected a Fellow of the IEEE (Dec 13, 2018 | Duke Engineering News)